Home
/
alpine
/
edge
/
testing
/
x86_64
File Name
Size
Date
..
—
—
3proxy-0.9.5-r1.apk
382.60KB
2025-11-17 15:11:09
3proxy-doc-0.9.5-r1.apk
26.38KB
2025-11-17 15:11:09
3proxy-openrc-0.9.5-r1.apk
1.69KB
2025-11-17 15:11:09
3proxy-systemd-0.9.5-r1.apk
1.85KB
2025-11-17 15:11:09
66-0.8.2.1-r0.apk
292.57KB
2025-06-03 22:11:21
66-dbg-0.8.2.1-r0.apk
757.45KB
2025-06-03 22:11:21
66-dev-0.8.2.1-r0.apk
1.90MB
2025-06-03 22:11:21
66-doc-0.8.2.1-r0.apk
190.37KB
2025-06-03 22:11:21
66-init-0.8.2.1-r0.apk
1.54KB
2025-06-03 22:11:21
66-tools-0.1.2.0-r0.apk
55.35KB
2025-06-02 06:03:31
66-tools-dbg-0.1.2.0-r0.apk
106.86KB
2025-06-02 06:03:31
66-tools-dev-0.1.2.0-r0.apk
2.01KB
2025-06-02 06:03:31
66-tools-doc-0.1.2.0-r0.apk
39.37KB
2025-06-02 06:03:31
66-tools-nsrules-0.1.2.0-r0.apk
2.86KB
2025-06-02 06:03:31
81voltd-1.1.0-r0.apk
12.28KB
2026-01-11 20:32:09
81voltd-doc-1.1.0-r0.apk
2.24KB
2026-01-11 20:32:09
81voltd-openrc-1.1.0-r0.apk
1.74KB
2026-01-11 20:32:09
81voltd-systemd-1.1.0-r0.apk
1.74KB
2026-01-11 20:32:09
9base-6-r2.apk
1.80MB
2024-10-25 21:59:17
9base-doc-6-r2.apk
63.72KB
2024-10-25 21:59:17
9base-troff-6-r2.apk
484.51KB
2024-10-25 21:59:17
APKINDEX.tar.gz
778.09KB
2026-01-13 02:01:58
a2jmidid-9-r3.apk
29.24KB
2024-10-25 21:59:17
a2jmidid-doc-9-r3.apk
4.19KB
2024-10-25 21:59:17
ab-tidy-0.1.0-r2.apk
80.24KB
2025-02-23 22:55:58
abc-0_git20240102-r0.apk
4.93MB
2024-10-25 21:59:18
abnfgen-0.21-r0.apk
17.75KB
2025-05-28 00:26:34
abnfgen-doc-0.21-r0.apk
5.07KB
2025-05-28 00:26:34
ace-of-penguins-1.4-r3.apk
158.66KB
2024-10-25 21:59:18
ace-of-penguins-doc-1.4-r3.apk
48.58KB
2024-10-25 21:59:18
acmeleaf-0.2.0-r1.apk
5.25MB
2025-12-05 00:48:23
acmeleaf-doc-0.2.0-r1.apk
5.29KB
2025-12-05 00:48:23
acmetool-0.2.2-r18.apk
4.75MB
2025-12-05 00:48:23
acmetool-doc-0.2.2-r18.apk
46.66KB
2025-12-05 00:48:23
adbd-0_git20251009-r0.apk
41.78KB
2026-01-08 14:10:14
adguardhome-0.107.71-r0.apk
11.59MB
2025-12-13 18:22:00
adguardhome-openrc-0.107.71-r0.apk
2.19KB
2025-12-13 18:22:00
adjtimex-1.29-r0.apk
19.02KB
2024-10-25 21:59:18
adjtimex-doc-1.29-r0.apk
7.09KB
2024-10-25 21:59:18
admesh-0.98.5-r0.apk
26.00KB
2024-10-25 21:59:18
admesh-dev-0.98.5-r0.apk
4.04KB
2024-10-25 21:59:18
admesh-doc-0.98.5-r0.apk
23.43KB
2024-10-25 21:59:18
advancemame-3.9-r4.apk
12.49MB
2024-10-25 21:59:18
advancemame-data-3.9-r4.apk
5.78MB
2024-10-25 21:59:18
advancemame-doc-3.9-r4.apk
373.57KB
2024-10-25 21:59:18
advancemame-menu-3.9-r4.apk
903.49KB
2024-10-25 21:59:18
advancemame-mess-3.9-r4.apk
3.94MB
2024-10-25 21:59:19
advancescan-1.18-r1.apk
268.10KB
2024-10-25 21:59:19
advancescan-doc-1.18-r1.apk
7.26KB
2024-10-25 21:59:19
afetch-2.2.0-r1.apk
9.00KB
2024-10-25 21:59:19
afetch-doc-2.2.0-r1.apk
13.58KB
2024-10-25 21:59:19
afew-3.0.1-r0.apk
73.14KB
2025-05-19 01:30:23
afew-doc-3.0.1-r0.apk
12.40KB
2025-05-19 01:30:23
agate-3.3.19-r0.apk
907.37KB
2025-09-24 01:32:13
agate-openrc-3.3.19-r0.apk
1.96KB
2025-09-24 01:32:13
aggregate6-1.0.14-r0.apk
7.26KB
2025-10-14 14:05:29
aggregate6-doc-1.0.14-r0.apk
2.93KB
2025-10-14 14:05:29
aggregate6-pyc-1.0.14-r0.apk
5.81KB
2025-10-14 14:05:29
agrep-0.8.0-r2.apk
8.33KB
2024-10-25 21:59:19
agrep-doc-0.8.0-r2.apk
4.11KB
2024-10-25 21:59:19
aide-0.19.2-r0.apk
87.38KB
2025-09-06 19:20:01
aide-doc-0.19.2-r0.apk
15.54KB
2025-09-06 19:20:01
airsonic-advanced-11.0.0_git20230217-r0.apk
91.29MB
2024-10-25 21:59:21
airsonic-advanced-openrc-11.0.0_git20230217-r0.apk
1.81KB
2024-10-25 21:59:21
alacritty-graphics-0.16.1-r0.apk
2.08MB
2025-12-18 02:02:22
alacritty-graphics-bash-completion-0.16.1-r0.apk
2.77KB
2025-12-18 02:02:22
alacritty-graphics-doc-0.16.1-r0.apk
41.10KB
2025-12-18 02:02:22
alacritty-graphics-fish-completion-0.16.1-r0.apk
3.08KB
2025-12-18 02:02:22
alacritty-graphics-zsh-completion-0.16.1-r0.apk
3.37KB
2025-12-18 02:02:22
alda-2.3.2-r5.apk
17.73MB
2025-12-05 00:48:23
alertmanager-irc-relay-0.5.1-r1.apk
4.97MB
2025-09-06 19:20:01
alertmanager-irc-relay-openrc-0.5.1-r1.apk
1.99KB
2025-09-06 19:20:01
alpine-lift-0.2.0-r28.apk
3.86MB
2025-12-05 00:48:23
alps-0_git20230807-r17.apk
5.79MB
2025-12-05 00:48:23
alps-openrc-0_git20230807-r17.apk
2.02KB
2025-12-05 00:48:23
alttab-1.7.1-r0.apk
36.66KB
2024-10-25 21:59:22
alttab-doc-1.7.1-r0.apk
10.30KB
2024-10-25 21:59:22
amber-0.5.1_alpha-r0.apk
892.00KB
2026-01-08 17:48:26
amber-mpris-1.2.9-r0.apk
210.98KB
2024-12-22 18:47:02
amber-mpris-dev-1.2.9-r0.apk
6.72KB
2024-12-22 18:47:02
amdgpu-fan-0.1.0-r5.apk
14.01KB
2024-10-25 21:59:22
amdgpu-fan-pyc-0.1.0-r5.apk
9.61KB
2024-10-25 21:59:22
amdgpu_top-0.11.0-r0.apk
6.76MB
2025-09-03 03:47:24
amdgpu_top-doc-0.11.0-r0.apk
3.98KB
2025-09-03 03:47:24
amiitool-2-r2.apk
7.80KB
2024-10-25 21:59:22
ampy-1.1.0-r6.apk
15.41KB
2025-03-21 18:20:49
ampy-doc-1.1.0-r6.apk
4.10KB
2025-03-21 18:20:49
ampy-pyc-1.1.0-r6.apk
19.45KB
2025-03-21 18:20:49
amule-2.3.3-r13.apk
3.90MB
2024-10-25 21:59:22
amule-doc-2.3.3-r13.apk
281.45KB
2024-10-25 21:59:22
amule-lang-2.3.3-r13.apk
1.57MB
2024-10-25 21:59:22
anarch-1.0-r1.apk
91.80KB
2024-10-25 21:59:22
anarch-doc-1.0-r1.apk
17.98KB
2024-10-25 21:59:22
anari-sdk-0.7.2-r0.apk
288.62KB
2024-10-25 21:59:22
anari-sdk-dev-0.7.2-r0.apk
58.60KB
2024-10-25 21:59:22
anari-sdk-static-0.7.2-r0.apk
180.73KB
2024-10-25 21:59:22
android-apkeep-0.17.0-r0.apk
2.00MB
2024-10-25 21:59:22
android-apktool-2.12.1-r0.apk
24.47MB
2025-10-14 17:53:31
android-build-tools-15.0-r1.apk
1.78MB
2025-12-12 00:04:41
android-file-transfer-4.5-r0.apk
193.73KB
2025-06-25 02:02:56
android-file-transfer-cli-4.5-r0.apk
110.52KB
2025-06-25 02:02:56
android-file-transfer-dev-4.5-r0.apk
1.57KB
2025-06-25 02:02:56
android-file-transfer-libs-4.5-r0.apk
128.90KB
2025-06-25 02:02:56
android-translation-layer-0_git20260106-r0.apk
2.80MB
2026-01-08 14:10:14
android-translation-layer-dbg-0_git20260106-r0.apk
902.17KB
2026-01-08 14:10:14
angband-4.2.5-r0.apk
22.62MB
2024-10-25 21:59:23
ansible-bender-0.10.1-r2.apk
36.30KB
2024-10-25 21:59:23
ansible-bender-doc-0.10.1-r2.apk
10.09KB
2024-10-25 21:59:23
ansible-bender-pyc-0.10.1-r2.apk
65.01KB
2024-10-25 21:59:23
antibody-6.1.1-r33.apk
1.90MB
2025-12-05 00:48:23
antimicrox-3.5.1-r0.apk
1.61MB
2025-06-13 16:55:37
antimicrox-doc-3.5.1-r0.apk
23.84KB
2025-06-13 16:55:37
aoetools-37-r2.apk
21.45KB
2025-01-14 17:29:20
aoetools-doc-37-r2.apk
13.75KB
2025-01-14 17:29:20
apache-mod-auth-gssapi-1.6.5-r1.apk
59.84KB
2024-10-25 21:59:24
apache-mod-auth-openidc-2.4.16.11-r1.apk
223.22KB
2025-05-22 10:16:17
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
4.45KB
2025-05-22 10:16:17
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
289.57KB
2025-05-22 10:16:17
apache2-mod-authnz-external-3.3.3-r0.apk
8.16KB
2024-10-25 21:59:24
apache2-mod-authnz-external-doc-3.3.3-r0.apk
9.98KB
2024-10-25 21:59:24
apache2-mod-maxminddb-1.2.0-r0.apk
10.81KB
2025-05-19 13:23:18
apache2-mod-perl-2.0.13-r2.apk
656.70KB
2025-06-30 11:08:57
apache2-mod-perl-dbg-2.0.13-r2.apk
61.70KB
2025-06-30 11:08:57
apache2-mod-perl-dev-2.0.13-r2.apk
37.99KB
2025-06-30 11:08:57
apache2-mod-perl-doc-2.0.13-r2.apk
304.00KB
2025-06-30 11:08:57
apache2-mod-realdoc-1-r1.apk
4.60KB
2024-10-25 21:59:24
apk-autoupdate-0_git20210421-r1.apk
13.08KB
2024-11-17 14:51:22
apk-autoupdate-doc-0_git20210421-r1.apk
6.96KB
2024-11-17 14:51:22
apk-snap-3.1.1-r0.apk
6.65KB
2024-10-25 21:59:24
apk-snap-doc-3.1.1-r0.apk
19.95KB
2024-10-25 21:59:24
apmpkg-1.5.1-r3.apk
1.62MB
2024-10-25 21:59:24
apmpkg-bash-completion-1.5.1-r3.apk
2.19KB
2024-10-25 21:59:24
apmpkg-doc-1.5.1-r3.apk
3.05KB
2024-10-25 21:59:24
apmpkg-fish-completion-1.5.1-r3.apk
2.07KB
2024-10-25 21:59:24
apmpkg-zsh-completion-1.5.1-r3.apk
2.45KB
2024-10-25 21:59:24
aports-glmr-0.2-r33.apk
2.70MB
2025-12-05 00:48:23
apostrophe-3.4-r0.apk
153.65KB
2025-09-30 12:36:22
apostrophe-lang-3.4-r0.apk
226.83KB
2025-09-30 12:36:22
apostrophe-pyc-3.4-r0.apk
153.36KB
2025-09-30 12:36:22
apostrophe-revealjs-5.2.1-r0.apk
2.43MB
2025-07-03 13:58:05
appcenter-8.0.0-r0.apk
406.25KB
2024-11-12 23:55:40
appcenter-lang-8.0.0-r0.apk
258.31KB
2024-11-12 23:55:40
appimagetool-1.9.1-r0.apk
36.88KB
2026-01-09 18:03:47
aprilsh-0.7.12-r10.apk
1.64KB
2025-12-05 00:48:23
aprilsh-client-0.7.12-r10.apk
3.37MB
2025-12-05 00:48:23
aprilsh-doc-0.7.12-r10.apk
14.41KB
2025-12-05 00:48:23
aprilsh-openrc-0.7.12-r10.apk
1.83KB
2025-12-05 00:48:23
aprilsh-server-0.7.12-r10.apk
2.58MB
2025-12-05 00:48:23
apt-dater-1.0.4-r4.apk
56.97KB
2024-10-25 21:59:24
apt-dater-doc-1.0.4-r4.apk
9.88KB
2024-10-25 21:59:24
apt-dater-lang-1.0.4-r4.apk
13.13KB
2024-10-25 21:59:24
apt-mirror-0.5.4-r0.apk
9.44KB
2024-10-25 21:59:24
apt-mirror-doc-0.5.4-r0.apk
4.63KB
2024-10-25 21:59:24
apt-swarm-0.5.1-r1.apk
2.99MB
2025-10-12 16:42:46
apt-swarm-bash-completion-0.5.1-r1.apk
4.19KB
2025-10-12 16:42:46
apt-swarm-fish-completion-0.5.1-r1.apk
5.74KB
2025-10-12 16:42:46
apt-swarm-openrc-0.5.1-r1.apk
1.95KB
2025-10-12 16:42:46
apt-swarm-zsh-completion-0.5.1-r1.apk
5.88KB
2025-10-12 16:42:46
aptdec-1.8.0-r1.apk
85.01KB
2025-02-09 01:48:15
aptdec-dev-1.8.0-r1.apk
3.43KB
2025-02-09 01:48:15
aptdec-libs-1.8.0-r1.apk
14.56KB
2025-02-09 01:48:15
apulse-0.1.14-r0.apk
40.03KB
2025-09-06 19:20:02
apulse-doc-0.1.14-r0.apk
2.94KB
2025-09-06 19:20:02
apx-2.4.5-r5.apk
3.68MB
2025-12-05 00:48:23
apx-doc-2.4.5-r5.apk
2.41KB
2025-12-05 00:48:23
aqemu-0.9.4-r3.apk
1.63MB
2024-10-25 21:59:24
aqemu-doc-0.9.4-r3.apk
7.52KB
2024-10-25 21:59:24
aravis-0.8.31-r0.apk
43.52KB
2024-10-25 21:59:24
aravis-dev-0.8.31-r0.apk
34.35KB
2024-10-25 21:59:24
aravis-libs-0.8.31-r0.apk
175.08KB
2024-10-25 21:59:24
aravis-viewer-0.8.31-r0.apk
65.59KB
2024-10-25 21:59:24
aravis-viewer-lang-0.8.31-r0.apk
15.99KB
2024-10-25 21:59:24
arc-20221218-r0.apk
1.75KB
2024-10-25 21:59:24
arc-cinnamon-20221218-r0.apk
68.03KB
2024-10-25 21:59:24
arc-dark-20221218-r0.apk
1.75KB
2024-10-25 21:59:24
arc-dark-cinnamon-20221218-r0.apk
68.43KB
2024-10-25 21:59:24
arc-dark-gnome-20221218-r0.apk
27.08KB
2024-10-25 21:59:24
arc-dark-gtk2-20221218-r0.apk
38.40KB
2024-10-25 21:59:24
arc-dark-gtk3-20221218-r0.apk
93.27KB
2024-10-25 21:59:24
arc-dark-gtk4-20221218-r0.apk
86.24KB
2024-10-25 21:59:24
arc-dark-metacity-20221218-r0.apk
17.47KB
2024-10-25 21:59:24
arc-dark-xfwm-20221218-r0.apk
7.87KB
2024-10-25 21:59:24
arc-darker-20221218-r0.apk
1.77KB
2024-10-25 21:59:24
arc-darker-gtk2-20221218-r0.apk
38.51KB
2024-10-25 21:59:24
arc-darker-gtk3-20221218-r0.apk
123.75KB
2024-10-25 21:59:24
arc-darker-gtk4-20221218-r0.apk
110.15KB
2024-10-25 21:59:24
arc-darker-metacity-20221218-r0.apk
17.48KB
2024-10-25 21:59:24
arc-darker-xfwm-20221218-r0.apk
7.87KB
2024-10-25 21:59:24
arc-gnome-20221218-r0.apk
28.64KB
2024-10-25 21:59:24
arc-gtk2-20221218-r0.apk
37.53KB
2024-10-25 21:59:24
arc-gtk3-20221218-r0.apk
125.87KB
2024-10-25 21:59:24
arc-gtk4-20221218-r0.apk
113.52KB
2024-10-25 21:59:24
arc-icon-theme-20161122-r0.apk
4.36MB
2024-10-25 21:59:24
arc-lighter-20221218-r0.apk
1.76KB
2024-10-25 21:59:24
arc-lighter-gtk2-20221218-r0.apk
37.54KB
2024-10-25 21:59:24
arc-lighter-gtk3-20221218-r0.apk
124.58KB
2024-10-25 21:59:24
arc-lighter-gtk4-20221218-r0.apk
112.82KB
2024-10-25 21:59:24
arc-lighter-metacity-20221218-r0.apk
17.29KB
2024-10-25 21:59:24
arc-lighter-xfwm-20221218-r0.apk
7.72KB
2024-10-25 21:59:24
arc-metacity-20221218-r0.apk
17.25KB
2024-10-25 21:59:24
arc-theme-20221218-r0.apk
1.45KB
2024-10-25 21:59:24
arc-xfwm-20221218-r0.apk
7.70KB
2024-10-25 21:59:24
arduino-cli-1.3.1-r2.apk
9.04MB
2025-12-05 00:48:24
argocd-3.2.0-r1.apk
44.04MB
2025-12-05 00:48:24
argocd-bash-completion-3.2.0-r1.apk
21.65KB
2025-12-05 00:48:24
argocd-doc-3.2.0-r1.apk
5.51KB
2025-12-05 00:48:24
argocd-zsh-completion-3.2.0-r1.apk
4.04KB
2025-12-05 00:48:24
arj-0_git20220125-r1.apk
126.61KB
2024-10-25 21:59:25
arj-doc-0_git20220125-r1.apk
10.46KB
2024-10-25 21:59:25
armagetronad-0.2.9.1.1-r0.apk
1.54MB
2024-10-25 21:59:25
armagetronad-doc-0.2.9.1.1-r0.apk
91.96KB
2024-10-25 21:59:25
art_standalone-0_git20251009-r0.apk
18.54MB
2026-01-08 14:10:15
art_standalone-dbg-0_git20251009-r0.apk
137.03MB
2026-01-08 14:10:16
art_standalone-dev-0_git20251009-r0.apk
8.62MB
2026-01-08 14:10:16
asciinema-rs-0.5.1-r3.apk
1.15MB
2024-10-25 21:59:28
asdf-0.18.0-r5.apk
1.72MB
2025-12-05 00:48:24
asdf-doc-0.18.0-r5.apk
2.25KB
2025-12-05 00:48:24
aspell-es-1.11-r0.apk
533.01KB
2024-10-25 21:59:28
asymptote-3.04-r0.apk
1.44MB
2025-05-31 09:51:55
asymptote-doc-3.04-r0.apk
3.13MB
2025-05-31 09:51:55
atac-0.18.1-r0.apk
4.88MB
2024-11-25 23:56:29
atari800-5.2.0-r0.apk
338.94KB
2024-10-25 21:59:29
atari800-doc-5.2.0-r0.apk
56.34KB
2024-10-25 21:59:29
atlantik-3.5.10_git20240323-r0.apk
378.65KB
2024-10-25 21:59:29
atlantik-doc-3.5.10_git20240323-r0.apk
79.31KB
2024-10-25 21:59:29
atlantik-lang-3.5.10_git20240323-r0.apk
68.63KB
2024-10-25 21:59:29
atomicparsley-20240608-r0.apk
107.55KB
2024-10-25 21:59:29
atool-0.39.0-r4.apk
17.73KB
2024-10-25 21:59:29
atool-bash-completion-0.39.0-r4.apk
2.04KB
2024-10-25 21:59:29
atool-doc-0.39.0-r4.apk
9.61KB
2024-10-25 21:59:29
aufs-util-20161219-r3.apk
175.60KB
2024-10-25 21:59:29
aufs-util-dev-20161219-r3.apk
1.46KB
2024-10-25 21:59:29
aufs-util-doc-20161219-r3.apk
33.90KB
2024-10-25 21:59:29
authenticator-rs-0.8.6-r0.apk
2.15MB
2025-09-01 21:29:22
authenticator-rs-lang-0.8.6-r0.apk
4.06KB
2025-09-01 21:29:22
autoconf-policy-0.1-r0.apk
5.49KB
2024-10-25 21:59:29
autoremove-torrents-1.5.5-r0.apk
35.44KB
2024-10-25 21:59:29
autoremove-torrents-doc-1.5.5-r0.apk
11.58KB
2024-10-25 21:59:29
autoremove-torrents-pyc-1.5.5-r0.apk
53.79KB
2024-10-25 21:59:29
autorestic-1.8.3-r10.apk
3.95MB
2025-12-05 00:48:24
autoscan-1.4.0-r16.apk
5.60MB
2025-12-05 00:48:25
autoscan-openrc-1.4.0-r16.apk
2.05KB
2025-12-05 00:48:25
autotrash-0.4.7-r0.apk
22.81KB
2024-10-25 21:59:29
autotrash-pyc-0.4.7-r0.apk
14.05KB
2024-10-25 21:59:29
avahi2dns-0.1.0-r7.apk
2.58MB
2025-12-24 19:05:23
avahi2dns-openrc-0.1.0-r7.apk
1.80KB
2025-12-24 19:05:23
avara-0.7.1-r1.apk
21.36MB
2024-11-04 10:53:07
avarice-2.14-r4.apk
64.74KB
2024-10-25 21:59:29
avarice-doc-2.14-r4.apk
9.44KB
2024-10-25 21:59:29
avida-0_git20190813-r1.apk
1.90MB
2024-10-25 21:59:30
avra-1.4.2-r0.apk
38.84KB
2024-10-25 21:59:30
avra-dev-1.4.2-r0.apk
254.74KB
2024-10-25 21:59:30
aws-ecr-get-login-password-1.0.0_rc2-r1.apk
3.35MB
2025-12-05 00:48:25
aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk
2.33KB
2025-12-05 00:48:25
azote-1.14.0-r0.apk
7.61MB
2024-12-14 23:22:57
azote-pyc-1.14.0-r0.apk
98.00KB
2024-12-14 23:22:57
azpainter-3.0.11-r0.apk
801.79KB
2025-02-22 17:08:56
azpainter-doc-3.0.11-r0.apk
41.90KB
2025-02-22 17:08:56
azure-iot-sdk-c-static-1.11.0-r0.apk
780.13KB
2024-10-25 21:59:30
b2-tools-4.3.2-r0.apk
72.48KB
2025-05-03 13:05:57
b2-tools-pyc-4.3.2-r0.apk
135.88KB
2025-05-03 13:05:57
babashka-1.3.188-r0.apk
23.37MB
2024-10-25 21:59:30
backup-manager-0.7.15-r1.apk
55.32KB
2024-10-25 21:59:30
bacon-3.18.0-r0.apk
1.79MB
2025-09-30 20:37:52
baikal-0.10.1-r1.apk
1.25MB
2025-05-28 00:37:10
baikal-mysql-0.10.1-r1.apk
1.29KB
2025-05-28 00:37:10
baikal-pgsql-0.10.1-r1.apk
1.29KB
2025-05-28 00:37:10
baikal-sqlite-0.10.1-r1.apk
1.44KB
2025-05-28 00:37:10
bake-2.5.1-r0.apk
109.35KB
2024-10-25 21:59:30
bakelite-0.4.2-r0.apk
34.26KB
2024-10-25 21:59:30
baresip-4.1.0-r0.apk
1.03MB
2025-10-03 10:59:18
baresip-dev-4.1.0-r0.apk
16.01KB
2025-10-03 10:59:18
barman-3.16.2-r0.apk
378.19KB
2025-11-21 00:18:03
barman-bash-completion-3.16.2-r0.apk
1.64KB
2025-11-21 00:18:03
barman-doc-3.16.2-r0.apk
88.85KB
2025-11-21 00:18:03
barman-pyc-3.16.2-r0.apk
594.65KB
2025-11-21 00:18:03
barnyard2-2.1.14_git20160413-r1.apk
124.85KB
2024-10-25 21:59:30
barnyard2-openrc-2.1.14_git20160413-r1.apk
2.76KB
2024-10-25 21:59:30
barrier-2.4.0-r2.apk
938.53KB
2025-02-22 16:38:37
barrier-doc-2.4.0-r2.apk
12.99KB
2025-02-22 16:38:37
bartib-1.0.1-r1.apk
354.27KB
2024-10-25 21:59:30
base64c-0.2.1-r0.apk
4.17KB
2024-10-25 21:59:30
base64c-dev-0.2.1-r0.apk
5.29KB
2024-10-25 21:59:30
bash-pinyin-completion-rs-1.0.3-r0.apk
322.34KB
2025-12-10 02:01:28
bash-pinyin-completion-rs-doc-1.0.3-r0.apk
13.58KB
2025-12-10 02:01:28
bat-extras-2024.08.24-r0.apk
5.32KB
2025-10-12 23:47:09
bat-extras-batdiff-2024.08.24-r0.apk
5.41KB
2025-10-12 23:47:09
bat-extras-batgrep-2024.08.24-r0.apk
7.35KB
2025-10-12 23:47:09
bat-extras-batman-2024.08.24-r0.apk
4.75KB
2025-10-12 23:47:09
bat-extras-batpipe-2024.08.24-r0.apk
7.03KB
2025-10-12 23:47:09
bat-extras-batwatch-2024.08.24-r0.apk
5.85KB
2025-10-12 23:47:09
bat-extras-doc-2024.08.24-r0.apk
15.52KB
2025-10-12 23:47:09
bat-extras-prettybat-2024.08.24-r0.apk
5.58KB
2025-10-12 23:47:09
batmon-0.0.1-r0.apk
439.29KB
2024-10-25 21:59:30
battery-limit-openrc-1-r0.apk
1.84KB
2025-06-17 13:22:16
bazaar-0.7.2-r0.apk
502.34KB
2026-01-04 16:48:25
bazaar-lang-0.7.2-r0.apk
242.82KB
2026-01-04 16:48:25
bazaar-systemd-0.7.2-r0.apk
1.73KB
2026-01-04 16:48:25
bazel6-6.5.0-r0.apk
30.35MB
2024-10-25 21:59:31
bazel6-bash-completion-6.5.0-r0.apk
42.38KB
2024-10-25 21:59:31
bazel7-7.7.1-r0.apk
30.45MB
2025-11-22 20:41:21
bazel7-bash-completion-7.7.1-r0.apk
47.99KB
2025-11-22 20:41:21
bazel8-8.4.2-r0.apk
33.48MB
2025-10-09 10:23:21
bazel8-bash-completion-8.4.2-r0.apk
65.39KB
2025-10-09 10:23:21
bcg729-1.1.1-r1.apk
32.04KB
2025-10-15 11:21:00
bcg729-dev-1.1.1-r1.apk
3.62KB
2025-10-15 11:21:00
bchunk-1.2.2-r3.apk
6.85KB
2024-10-25 21:59:32
bchunk-doc-1.2.2-r3.apk
2.99KB
2024-10-25 21:59:32
bdfr-2.6.2-r1.apk
130.93KB
2024-10-25 21:59:32
beancount-language-server-1.4.1-r0.apk
1.41MB
2025-08-03 13:41:59
beard-0.4-r0.apk
3.14KB
2024-10-25 21:59:32
beard-doc-0.4-r0.apk
2.48KB
2024-10-25 21:59:32
bees-0.10-r2.apk
290.04KB
2024-10-25 21:59:32
bees-openrc-0.10-r2.apk
1.94KB
2024-10-25 21:59:32
belcard-5.3.105-r0.apk
11.91KB
2025-02-25 14:48:29
belcard-dev-5.3.105-r0.apk
11.46KB
2025-02-25 14:48:29
belcard-libs-5.3.105-r0.apk
207.47KB
2025-02-25 14:48:29
belle-sip-5.3.105-r0.apk
628.29KB
2025-02-25 14:51:43
belle-sip-dev-5.3.105-r0.apk
53.88KB
2025-02-25 14:51:43
belr-5.3.105-r0.apk
106.98KB
2025-02-25 14:52:04
belr-dev-5.3.105-r0.apk
14.53KB
2025-02-25 14:52:04
berry-lang-1.1.0-r0.apk
113.21KB
2024-10-25 21:59:32
bestline-0.0_git20211108-r0.apk
20.96KB
2024-10-25 21:59:32
bestline-dev-0.0_git20211108-r0.apk
1.68KB
2024-10-25 21:59:32
bestline-doc-0.0_git20211108-r0.apk
17.61MB
2024-10-25 21:59:33
bettercap-2.41.5-r0.apk
19.73MB
2025-12-16 03:52:19
bettercap-doc-2.41.5-r0.apk
13.59KB
2025-12-16 03:52:19
bgpq4-1.15-r0.apk
32.93KB
2024-10-25 21:59:33
bgpq4-doc-1.15-r0.apk
6.34KB
2024-10-25 21:59:33
bgs-0.8-r1.apk
5.67KB
2024-10-25 21:59:33
bgs-doc-0.8-r1.apk
2.30KB
2024-10-25 21:59:33
biboumi-9.0-r9.apk
274.27KB
2025-10-06 14:28:57
biboumi-doc-9.0-r9.apk
1.48KB
2025-10-06 14:28:57
biboumi-openrc-9.0-r9.apk
1.89KB
2025-10-06 14:28:57
bindfs-1.17.7-r1.apk
20.68KB
2025-06-19 11:45:03
bindfs-doc-1.17.7-r1.apk
9.05KB
2025-06-19 11:45:03
binwalk-3.1.0-r0.apk
1.01MB
2025-02-07 17:46:39
biome-2.3.11-r0.apk
7.93MB
2026-01-04 23:37:59
biometryd-0.3.3-r0.apk
311.81KB
2025-12-09 13:10:55
biometryd-dev-0.3.3-r0.apk
12.97KB
2025-12-09 13:10:55
bionic_translation-0_git20251125-r0.apk
58.10KB
2026-01-08 14:10:16
bionic_translation-dbg-0_git20251125-r0.apk
147.88KB
2026-01-08 14:10:16
bionic_translation-dev-0_git20251125-r0.apk
1.76KB
2026-01-08 14:10:16
birdtray-1.11.4-r0.apk
434.64KB
2025-12-12 19:03:50
bitlbee-facebook-1.2.2-r0.apk
59.48KB
2024-10-25 21:59:33
bitlbee-mastodon-1.4.5-r0.apk
46.71KB
2024-10-25 21:59:33
bitritter-0.1.1-r0.apk
2.25MB
2024-10-25 21:59:33
bkt-0.8.0-r0.apk
359.67KB
2024-10-25 21:59:33
bkt-doc-0.8.0-r0.apk
7.25KB
2024-10-25 21:59:33
blackbox-1.20220610-r1.apk
15.71KB
2024-10-25 21:59:33
blip-0.10-r0.apk
15.31KB
2024-10-25 21:59:33
blip-doc-0.10-r0.apk
31.97KB
2024-10-25 21:59:33
boa-cli-0.21-r0.apk
7.52MB
2025-10-24 23:45:07
bochs-2.8-r1.apk
919.63KB
2025-02-22 16:38:38
bochs-doc-2.8-r1.apk
139.00KB
2025-02-22 16:38:38
boinc-7.24.3-r0.apk
1.54MB
2024-10-25 21:59:33
boinc-dev-7.24.3-r0.apk
583.05KB
2024-10-25 21:59:33
boinc-doc-7.24.3-r0.apk
8.00KB
2024-10-25 21:59:33
boinc-gui-7.24.3-r0.apk
1.01MB
2024-10-25 21:59:33
boinc-lang-7.24.3-r0.apk
876.96KB
2024-10-25 21:59:33
boinc-libs-7.24.3-r0.apk
201.66KB
2024-10-25 21:59:33
boinc-screensaver-7.24.3-r0.apk
124.14KB
2024-10-25 21:59:33
bomctl-0.1.9-r11.apk
9.65MB
2025-12-05 00:48:25
bomctl-bash-completion-0.1.9-r11.apk
5.14KB
2025-12-05 00:48:25
bomctl-fish-completion-0.1.9-r11.apk
4.34KB
2025-12-05 00:48:25
bomctl-zsh-completion-0.1.9-r11.apk
4.06KB
2025-12-05 00:48:25
bonzomatic-20230615-r0.apk
627.93KB
2024-10-25 21:59:34
bootchart2-0.14.9-r1.apk
135.12KB
2025-11-17 15:11:09
bootchart2-systemd-0.14.9-r1.apk
2.22KB
2025-11-17 15:11:09
bootinfo-0.1.0-r4.apk
19.43KB
2024-10-25 21:59:34
bootinfo-pyc-0.1.0-r4.apk
8.25KB
2024-10-25 21:59:34
bootloose-0.7.1-r16.apk
2.30MB
2025-12-05 00:48:25
bootterm-0.5-r0.apk
18.24KB
2024-10-25 21:59:34
bootterm-dbg-0.5-r0.apk
2.38KB
2024-10-25 21:59:34
bore-0.5.2-r0.apk
534.66KB
2024-12-15 21:29:13
borogove-sdk-0_git20251206-r0.apk
3.13MB
2025-12-07 20:05:22
boson-0_git20211219-r0.apk
17.29KB
2024-10-25 21:59:34
botan2-2.19.5-r0.apk
419.70KB
2025-05-29 00:36:59
botan2-dev-2.19.5-r0.apk
311.95KB
2025-05-29 00:36:59
botan2-doc-2.19.5-r0.apk
306.22KB
2025-05-29 00:36:59
botan2-libs-2.19.5-r0.apk
2.67MB
2025-05-29 00:36:59
boxes-2.3.1-r0.apk
77.47KB
2024-10-25 21:59:34
boxes-doc-2.3.1-r0.apk
7.11KB
2024-10-25 21:59:34
brial-1.2.11-r4.apk
1.03MB
2024-10-25 21:59:34
brial-dev-1.2.11-r4.apk
1.61MB
2024-10-25 21:59:34
btcd-0.25.0-r0.apk
16.25MB
2025-12-27 12:37:58
btfs-2.24-r12.apk
29.35KB
2024-10-25 21:59:34
btfs-doc-2.24-r12.apk
2.39KB
2024-10-25 21:59:34
btpd-0.16-r2.apk
79.93KB
2024-10-25 21:59:34
btpd-doc-0.16-r2.apk
8.40KB
2024-10-25 21:59:34
budgie-control-center-1.4.0-r0.apk
2.97MB
2025-10-16 20:07:34
budgie-control-center-bash-completion-1.4.0-r0.apk
2.30KB
2025-10-16 20:07:34
budgie-control-center-lang-1.4.0-r0.apk
3.92MB
2025-10-16 20:07:34
budgie-desktop-10.9.2-r0.apk
1.31MB
2025-10-16 20:07:34
budgie-desktop-dev-10.9.2-r0.apk
18.22KB
2025-10-16 20:07:34
budgie-desktop-doc-10.9.2-r0.apk
5.65KB
2025-10-16 20:07:34
budgie-desktop-lang-10.9.2-r0.apk
585.87KB
2025-10-16 20:07:34
budgie-screensaver-5.1.0-r0.apk
72.82KB
2025-10-16 20:07:34
budgie-screensaver-doc-5.1.0-r0.apk
3.34KB
2025-10-16 20:07:34
budgie-screensaver-lang-5.1.0-r0.apk
240.18KB
2025-10-16 20:07:34
budgie-session-0.9.1-r0.apk
110.46KB
2025-10-16 20:07:34
budgie-session-doc-0.9.1-r0.apk
5.28KB
2025-10-16 20:07:34
budgie-session-lang-0.9.1-r0.apk
306.61KB
2025-10-16 20:07:34
buf-1.59.0-r1.apk
15.62MB
2025-12-05 00:48:26
buf-bash-completion-1.59.0-r1.apk
8.63KB
2025-12-05 00:48:26
buf-fish-completion-1.59.0-r1.apk
4.30KB
2025-12-05 00:48:26
buf-protoc-plugins-1.59.0-r1.apk
15.17MB
2025-12-05 00:48:26
buf-zsh-completion-1.59.0-r1.apk
4.03KB
2025-12-05 00:48:26
build-next-22.0.0_pre20251226-r0.apk
1.59KB
2025-12-27 12:35:25
build-next-bfd-22.0.0_pre20251226-r0.apk
904.54KB
2025-12-27 12:35:25
build-next-gas-22.0.0_pre20251226-r0.apk
830.98KB
2025-12-27 12:35:25
build-next-overlay-22.0.0_pre20251226-r0.apk
2.94KB
2025-12-27 12:35:25
buildbot-4.3.0-r0.apk
735.86KB
2025-08-25 16:20:29
buildbot-badges-4.3.0-r0.apk
9.32KB
2025-08-25 16:20:29
buildbot-console-view-4.3.0-r0.apk
23.42KB
2025-08-25 16:20:29
buildbot-grid-view-4.3.0-r0.apk
11.33KB
2025-08-25 16:20:29
buildbot-pyc-4.3.0-r0.apk
1.50MB
2025-08-25 16:20:29
buildbot-waterfall-view-4.3.0-r0.apk
33.99KB
2025-08-25 16:20:29
buildbot-worker-4.3.0-r0.apk
191.37KB
2025-08-25 16:20:29
buildbot-wsgi-dashboards-4.3.0-r0.apk
425.82KB
2025-08-25 16:20:29
buildbot-www-4.3.0-r0.apk
422.13KB
2025-08-25 16:20:29
buildcache-0.28.9-r0.apk
797.85KB
2024-10-25 21:59:35
bump2version-1.0.1-r6.apk
20.85KB
2024-10-25 21:59:35
bump2version-pyc-1.0.1-r6.apk
29.11KB
2024-10-25 21:59:35
burp-3.1.4-r0.apk
169.99KB
2024-10-25 21:59:35
burp-doc-3.1.4-r0.apk
99.41KB
2024-10-25 21:59:35
burp-server-3.1.4-r0.apk
36.33KB
2024-10-25 21:59:35
butane-0.25.1-r2.apk
3.32MB
2025-12-05 00:48:26
bwrap-oci-0.2-r1.apk
15.72KB
2024-10-25 21:59:35
bwrap-oci-doc-0.2-r1.apk
2.49KB
2024-10-25 21:59:35
bzmenu-0.2.1-r3.apk
1.09MB
2025-08-09 19:27:56
cadence-0.9.2-r1.apk
1.48MB
2025-10-09 13:53:55
caffeine-ng-4.2.0-r1.apk
100.40KB
2024-10-25 21:59:35
caffeine-ng-doc-4.2.0-r1.apk
3.16KB
2024-10-25 21:59:35
caffeine-ng-lang-4.2.0-r1.apk
34.36KB
2024-10-25 21:59:35
caja-gtkhash-plugin-1.5-r0.apk
24.96KB
2024-10-25 21:59:35
calibre-8.15.0-r1.apk
41.00MB
2025-12-25 00:13:38
calibre-bash-completion-8.15.0-r1.apk
5.30KB
2025-12-25 00:13:38
calibre-doc-8.15.0-r1.apk
1.95MB
2025-12-25 00:13:38
calibre-pyc-8.15.0-r1.apk
2.35KB
2025-12-25 00:13:38
calibre-zsh-completion-8.15.0-r1.apk
45.17KB
2025-12-25 00:13:38
candyboot-0.1.0-r0.apk
23.11KB
2025-06-11 15:34:39
capnet-assist-8.0.0-r0.apk
43.53KB
2025-04-14 13:10:42
capnet-assist-lang-8.0.0-r0.apk
37.25KB
2025-04-14 13:10:42
caprine-2.61.0-r0.apk
16.25MB
2026-01-08 17:48:26
caps2esc-0.3.2-r0.apk
4.40KB
2024-10-25 21:59:36
captive-browser-0_git20210801-r2.apk
1.28MB
2025-12-05 00:48:26
captive-browser-doc-0_git20210801-r2.apk
3.68KB
2025-12-05 00:48:26
care-2.3.0-r1.apk
95.38KB
2024-10-25 21:59:36
care-doc-2.3.0-r1.apk
7.89KB
2024-10-25 21:59:36
cargo-crev-0.26.3-r0.apk
6.04MB
2025-03-02 18:12:18
cargo-geiger-0.13.0-r0.apk
5.14MB
2025-10-10 20:31:39
cargo-geiger-doc-0.13.0-r0.apk
7.68KB
2025-10-10 20:31:39
cargo-generate-0.23.5-r0.apk
2.28MB
2025-10-04 16:14:44
cargo-leptos-0.3.2-r0.apk
8.24MB
2025-12-22 04:54:55
cargo-leptos-doc-0.3.2-r0.apk
2.22KB
2025-12-22 04:54:55
cargo-machete-0.9.1-r0.apk
1.27MB
2025-08-18 02:52:03
cargo-machete-doc-0.9.1-r0.apk
4.36KB
2025-08-18 02:52:03
cargo-run-bin-1.7.2-r0.apk
442.12KB
2024-10-25 21:59:36
cargo-run-bin-doc-1.7.2-r0.apk
5.07KB
2024-10-25 21:59:36
cargo-show-asm-0.2.53-r0.apk
865.39KB
2025-10-30 02:23:07
cargo-show-asm-doc-0.2.53-r0.apk
10.00KB
2025-10-30 02:23:07
cargo-shuttle-0.56.6-r0.apk
4.97MB
2025-07-29 10:56:08
cargo-shuttle-bash-completion-0.56.6-r0.apk
5.17KB
2025-07-29 10:56:08
cargo-shuttle-doc-0.56.6-r0.apk
8.99KB
2025-07-29 10:56:08
cargo-shuttle-fish-completion-0.56.6-r0.apk
9.05KB
2025-07-29 10:56:08
cargo-shuttle-zsh-completion-0.56.6-r0.apk
7.94KB
2025-07-29 10:56:08
cargo-udeps-0.1.60-r0.apk
4.80MB
2026-01-09 18:03:47
cargo-udeps-doc-0.1.60-r0.apk
7.50KB
2026-01-09 18:03:47
cargo-update-18.0.0-r0.apk
1.12MB
2025-10-28 09:26:06
cargo-update-doc-18.0.0-r0.apk
8.51KB
2025-10-28 09:26:06
cargo-vendor-filterer-0.5.18-r0.apk
637.33KB
2025-07-24 20:29:20
cartero-0.2.2-r0.apk
1.14MB
2025-10-13 03:00:29
cartero-lang-0.2.2-r0.apk
44.77KB
2025-10-13 03:00:29
castero-0.9.5-r4.apk
50.47KB
2025-05-14 21:16:02
castero-pyc-0.9.5-r4.apk
93.97KB
2025-05-14 21:16:02
castor-0.9.0-r2.apk
723.39KB
2024-10-25 21:59:36
cataclysm-dda-0h-r0.apk
19.41MB
2025-03-21 18:20:51
cataclysm-dda-curses-0h-r0.apk
11.76MB
2025-03-21 18:20:51
cataclysm-dda-doc-0h-r0.apk
4.65KB
2025-03-21 18:20:51
cataclysm-dda-lang-0h-r0.apk
37.56MB
2025-03-21 18:20:52
cataclysm-dda-tiles-0h-r0.apk
48.93MB
2025-03-21 18:20:52
catdoc-0.95-r1.apk
108.18KB
2024-10-25 21:59:36
catdoc-doc-0.95-r1.apk
9.25KB
2024-10-25 21:59:36
catfish-4.20.1-r0.apk
127.79KB
2025-07-07 22:17:24
catfish-doc-4.20.1-r0.apk
13.28KB
2025-07-07 22:17:24
catfish-lang-4.20.1-r0.apk
166.67KB
2025-07-07 22:17:24
catfish-pyc-4.20.1-r0.apk
104.11KB
2025-07-07 22:17:24
catppuccin-whiskers-2.5.1-r0.apk
1.61MB
2025-11-29 20:59:37
catppuccin-whiskers-doc-2.5.1-r0.apk
2.25KB
2025-11-29 20:59:37
cava-0.10.6-r0.apk
46.62KB
2025-09-12 11:10:43
cbqn-0.10.0-r0.apk
686.49KB
2025-11-30 14:22:10
cc65-2.19-r0.apk
8.85MB
2024-10-25 21:59:36
ccrtp-2.1.2-r0.apk
91.38KB
2024-10-25 21:59:36
ccrtp-dev-2.1.2-r0.apk
52.71KB
2024-10-25 21:59:36
ccrtp-doc-2.1.2-r0.apk
31.27KB
2024-10-25 21:59:36
ccze-0.2.1-r1.apk
51.14KB
2024-10-25 21:59:36
ccze-dev-0.2.1-r1.apk
3.32KB
2024-10-25 21:59:36
ccze-doc-0.2.1-r1.apk
8.84KB
2024-10-25 21:59:36
cdba-1.0-r2.apk
7.64KB
2024-10-25 21:59:36
cdba-server-1.0-r2.apk
20.59KB
2024-10-25 21:59:36
cddlib-0.94m-r2.apk
184.22KB
2024-10-25 21:59:36
cddlib-dev-0.94m-r2.apk
14.09KB
2024-10-25 21:59:36
cddlib-doc-0.94m-r2.apk
863.73KB
2024-10-25 21:59:36
cddlib-static-0.94m-r2.apk
250.79KB
2024-10-25 21:59:36
cddlib-tools-0.94m-r2.apk
35.34KB
2024-10-25 21:59:36
cdist-7.0.0-r6.apk
510.99KB
2024-10-25 21:59:36
cdist-pyc-7.0.0-r6.apk
127.58KB
2024-10-25 21:59:36
cdogs-sdl-2.3.2-r0.apk
27.99MB
2025-10-09 21:48:29
cemu-2.6-r0.apk
19.96MB
2025-09-25 08:44:25
cemu-lang-2.6-r0.apk
431.85KB
2025-09-25 08:44:25
certbot-dns-hetzner-2.0.1-r1.apk
10.15KB
2025-10-06 14:36:44
certbot-dns-hetzner-pyc-2.0.1-r1.apk
6.46KB
2025-10-06 14:36:44
certbot-dns-njalla-2.0.0-r0.apk
9.30KB
2024-11-28 01:05:12
certbot-dns-njalla-pyc-2.0.0-r0.apk
4.21KB
2024-11-28 01:05:12
certbot-dns-pdns-0.1.1-r1.apk
8.61KB
2025-08-28 04:20:05
certbot-dns-pdns-pyc-0.1.1-r1.apk
3.87KB
2025-08-28 04:20:05
certigo-1.16.0-r28.apk
3.91MB
2025-12-05 00:48:26
certstrap-1.3.0-r29.apk
2.39MB
2025-12-05 00:48:26
cfssl-1.6.5-r10.apk
30.52MB
2025-12-05 00:48:27
cgiirc-0.5.12-r1.apk
132.72KB
2024-10-25 21:59:38
cgo-0.6.1-r1.apk
9.87KB
2024-10-25 21:59:38
cgo-doc-0.6.1-r1.apk
4.11KB
2024-10-25 21:59:38
charls-2.4.2-r0.apk
64.37KB
2024-10-25 21:59:38
charls-dev-2.4.2-r0.apk
26.61KB
2024-10-25 21:59:38
charta-0.8.2-r1.apk
2.09MB
2025-12-05 00:48:27
chasquid-1.17.0-r0.apk
11.68MB
2025-12-19 17:56:53
chasquid-doc-1.17.0-r0.apk
10.87KB
2025-12-19 17:56:53
chasquid-openrc-1.17.0-r0.apk
1.96KB
2025-12-19 17:56:53
checkpolicy-3.6-r0.apk
367.60KB
2024-10-25 21:59:38
checkpolicy-doc-3.6-r0.apk
4.17KB
2024-10-25 21:59:38
cherrytree-1.4.0-r0.apk
2.72MB
2025-03-26 19:40:25
cherrytree-doc-1.4.0-r0.apk
2.10KB
2025-03-26 19:40:25
cherrytree-lang-1.4.0-r0.apk
858.56KB
2025-03-26 19:40:25
chess-tui-2.0.0-r0.apk
1.32MB
2025-12-13 03:10:56
chess-tui-doc-2.0.0-r0.apk
2.24KB
2025-12-13 03:10:56
chim-1.1.2-r1.apk
1.69MB
2024-10-25 21:59:38
chim-doc-1.1.2-r1.apk
2.82KB
2024-10-25 21:59:38
chimerautils-15.0.3-r0.apk
1.18MB
2026-01-11 04:50:07
chimerautils-dbg-15.0.3-r0.apk
3.06MB
2026-01-11 04:50:07
chocolate-doom-3.1.1-r0.apk
1.59MB
2025-08-19 04:30:47
chocolate-doom-doc-3.1.1-r0.apk
232.61KB
2025-08-19 04:30:47
cilium-cli-0.16.13-r10.apk
55.70MB
2025-12-05 00:48:28
cilium-cli-bash-completion-0.16.13-r10.apk
5.06KB
2025-12-05 00:48:28
cilium-cli-fish-completion-0.16.13-r10.apk
4.33KB
2025-12-05 00:48:28
cilium-cli-zsh-completion-0.16.13-r10.apk
4.05KB
2025-12-05 00:48:28
cimg-3.4.1-r0.apk
825.98KB
2024-10-25 21:59:39
cinny-web-4.10.2-r0.apk
5.84MB
2025-11-08 09:26:17
circuslinux-1.0.3-r1.apk
19.37KB
2024-10-25 21:59:40
circuslinux-data-1.0.3-r1.apk
1.13MB
2024-10-25 21:59:40
circuslinux-doc-1.0.3-r1.apk
17.97KB
2024-10-25 21:59:40
ckb-next-0.6.2-r1.apk
1.34MB
2025-09-06 19:20:07
ckb-next-daemon-0.6.2-r1.apk
69.04KB
2025-09-06 19:20:07
ckb-next-daemon-openrc-0.6.2-r1.apk
1.84KB
2025-09-06 19:20:07
ckb-next-dev-0.6.2-r1.apk
4.93KB
2025-09-06 19:20:07
clang-next-22.0.0_pre20251226-r0.apk
9.39MB
2025-12-27 12:35:25
clang-next-ccache-22.0.0_pre20251226-r0.apk
1.66KB
2025-12-27 12:35:25
clang-next-dev-22.0.0_pre20251226-r0.apk
3.90MB
2025-12-27 12:35:25
clang-next-headers-22.0.0_pre20251226-r0.apk
0.99MB
2025-12-27 12:35:25
clang-next-libclang-22.0.0_pre20251226-r0.apk
9.31MB
2025-12-27 12:35:25
clang-next-libs-22.0.0_pre20251226-r0.apk
15.88MB
2025-12-27 12:35:26
clang-next-rtlib-22.0.0_pre20251226-r0.apk
15.30MB
2025-12-27 12:35:26
clang-next-rtlib-atomic-22.0.0_pre20251226-r0.apk
8.28KB
2025-12-27 12:35:26
clang-next-rtlib-scudo-22.0.0_pre20251226-r0.apk
39.69KB
2025-12-27 12:35:26
clang-next-static-22.0.0_pre20251226-r0.apk
33.69MB
2025-12-27 12:35:26
clatd-2.1.0-r0.apk
14.63KB
2026-01-09 18:03:47
clementine-1.4.1_git20250503-r0.apk
6.20MB
2025-06-12 16:59:28
clevis-21-r0.apk
51.49KB
2025-01-20 06:17:18
clevis-bash-completion-21-r0.apk
2.04KB
2025-01-20 06:17:18
clevis-dbg-21-r0.apk
61.91KB
2025-01-20 06:17:18
clevis-doc-21-r0.apk
23.17KB
2025-01-20 06:17:18
clevis-extra-pins-0_git20230629-r0.apk
4.66KB
2024-10-25 21:59:40
click-0.5.2-r4.apk
157.12KB
2025-02-22 16:38:40
click-dev-0.5.2-r4.apk
9.13KB
2025-02-22 16:38:40
click-doc-0.5.2-r4.apk
3.31KB
2025-02-22 16:38:40
click-pyc-0.5.2-r4.apk
174.78KB
2025-02-22 16:38:40
clinfo-3.0.23.01.25-r0.apk
46.53KB
2024-10-25 21:59:40
clinfo-doc-3.0.23.01.25-r0.apk
6.47KB
2024-10-25 21:59:40
cliphist-0.7.0-r1.apk
1.03MB
2025-12-05 00:48:28
cliphist-fzf-0.7.0-r1.apk
1.79KB
2025-12-05 00:48:28
clipit-1.4.5-r3.apk
64.60KB
2024-10-25 21:59:40
clipit-doc-1.4.5-r3.apk
2.39KB
2024-10-25 21:59:40
cliquer-1.23-r0.apk
7.14KB
2025-08-12 07:15:20
cliquer-dev-1.23-r0.apk
7.50KB
2025-08-12 07:15:20
cliquer-libs-1.23-r0.apk
23.53KB
2025-08-12 07:15:20
cliquer-static-1.23-r0.apk
27.45KB
2025-08-12 07:15:20
cliquer-tests-1.23-r0.apk
24.00KB
2025-08-12 07:15:20
cloud-hypervisor-48.0-r0.apk
2.81MB
2025-10-28 09:21:58
cloud-hypervisor-doc-48.0-r0.apk
68.15KB
2025-10-28 09:21:58
cloudflared-2025.11.1-r11.apk
10.08MB
2026-01-01 04:44:12
cloudflared-doc-2025.11.1-r11.apk
1.91KB
2026-01-01 04:44:12
cloudflared-openrc-2025.11.1-r11.apk
1.79KB
2026-01-01 04:44:12
cloudfoundry-cli-8.7.9-r14.apk
9.41MB
2025-12-05 00:48:28
cluster-glue-1.0.12-r5.apk
261.14KB
2024-10-25 21:59:40
cluster-glue-dev-1.0.12-r5.apk
1.05MB
2024-10-25 21:59:40
cluster-glue-doc-1.0.12-r5.apk
32.93KB
2024-10-25 21:59:40
cluster-glue-libs-1.0.12-r5.apk
112.25KB
2024-10-25 21:59:40
cm256cc-1.1.1-r1.apk
9.26KB
2025-02-09 01:48:15
cm256cc-dev-1.1.1-r1.apk
15.12KB
2025-02-09 01:48:15
cmusfm-0.5.0-r1.apk
15.17KB
2025-08-27 07:14:01
cobang-2.3.1-r0.apk
50.24KB
2026-01-07 02:06:06
cobang-lang-2.3.1-r0.apk
15.67KB
2026-01-07 02:06:06
coccinelle-1.1.1-r2.apk
6.75MB
2024-10-25 21:59:41
coccinelle-bash-completion-1.1.1-r2.apk
2.86KB
2024-10-25 21:59:41
coccinelle-doc-1.1.1-r2.apk
15.97KB
2024-10-25 21:59:41
cocogitto-6.5.0-r0.apk
1.79MB
2025-11-02 20:21:02
cocogitto-bash-completion-6.5.0-r0.apk
3.17KB
2025-11-02 20:21:02
cocogitto-doc-6.5.0-r0.apk
39.50KB
2025-11-02 20:21:02
cocogitto-fish-completion-6.5.0-r0.apk
3.75KB
2025-11-02 20:21:02
cocogitto-zsh-completion-6.5.0-r0.apk
3.17KB
2025-11-02 20:21:02
code-minimap-0.6.7-r0.apk
355.56KB
2024-12-12 21:36:18
code-minimap-doc-0.6.7-r0.apk
7.99KB
2024-12-12 21:36:18
code-oss-1.105.1-r1.apk
25.92MB
2025-11-10 23:45:34
code-oss-bash-completion-1.105.1-r1.apk
2.20KB
2025-11-10 23:45:35
code-oss-zsh-completion-1.105.1-r1.apk
2.68KB
2025-11-10 23:45:35
codec2-1.2.0-r1.apk
666.02KB
2025-11-22 20:51:33
codec2-dev-1.2.0-r1.apk
15.42KB
2025-11-22 20:51:33
coldbrew-1.0-r0.apk
3.87KB
2026-01-07 02:06:06
colormake-0.9.20170221-r0.apk
4.05KB
2024-10-25 21:59:41
colormake-doc-0.9.20170221-r0.apk
2.69KB
2024-10-25 21:59:41
colorpicker-0_git20201128-r1.apk
4.21KB
2024-10-25 21:59:41
comet-0.3.2-r0.apk
2.99MB
2026-01-05 03:45:45
comics-downloader-0.33.8-r15.apk
3.95MB
2025-12-05 00:48:29
comics-downloader-gui-0.33.8-r15.apk
5.73MB
2025-12-05 00:48:29
commit-lsp-0.1.0-r0.apk
2.24MB
2025-05-10 06:12:12
commoncpp-7.0.1-r1.apk
288.50KB
2024-10-25 21:59:41
commoncpp-dev-7.0.1-r1.apk
173.03KB
2024-10-25 21:59:41
commoncpp-doc-7.0.1-r1.apk
14.83KB
2024-10-25 21:59:41
commoncpp-tools-7.0.1-r1.apk
46.59KB
2024-10-25 21:59:41
compiz-0.9.14.2-r13.apk
5.94MB
2025-11-29 02:00:43
compiz-dev-0.9.14.2-r13.apk
117.21KB
2025-11-29 02:00:43
compiz-lang-0.9.14.2-r13.apk
1.21MB
2025-11-29 02:00:43
compiz-pyc-0.9.14.2-r13.apk
111.44KB
2025-11-29 02:00:43
compiz-utils-0.9.14.2-r13.apk
3.34KB
2025-11-29 02:00:43
comrak-0.49.0-r0.apk
0.99MB
2025-12-15 00:31:15
comrak-doc-0.49.0-r0.apk
10.65KB
2025-12-15 00:31:15
conntracct-0.2.7-r36.apk
5.28MB
2025-12-05 00:48:29
conntracct-openrc-0.2.7-r36.apk
1.92KB
2025-12-05 00:48:29
conserver-8.2.7-r0.apk
129.56KB
2025-12-18 21:45:50
conserver-doc-8.2.7-r0.apk
27.68KB
2025-12-18 21:45:50
conserver-openrc-8.2.7-r0.apk
1.67KB
2025-12-18 21:45:50
console_bridge-1.0.2-r0.apk
9.63KB
2024-10-25 21:59:42
console_bridge-dev-1.0.2-r0.apk
4.73KB
2024-10-25 21:59:42
consul-replicate-0.4.0-r36.apk
3.03MB
2025-12-05 00:48:29
contractor-0.3.5-r0.apk
25.98KB
2024-11-12 23:55:40
convert2json-2.4.1-r0.apk
1.33KB
2025-12-15 18:18:59
convert2json-bson-2.4.1-r0.apk
1.28KB
2025-12-15 18:18:59
convert2json-bson-jaq-2.4.1-r0.apk
249.58KB
2025-12-15 18:18:59
convert2json-bson-json-2.4.1-r0.apk
239.57KB
2025-12-15 18:18:59
convert2json-cbor-2.4.1-r0.apk
1.29KB
2025-12-15 18:18:59
convert2json-cbor-jaq-2.4.1-r0.apk
213.73KB
2025-12-15 18:18:59
convert2json-cbor-json-2.4.1-r0.apk
203.59KB
2025-12-15 18:18:59
convert2json-csv-2.4.1-r0.apk
1.29KB
2025-12-15 18:18:59
convert2json-csv-jaq-2.4.1-r0.apk
231.84KB
2025-12-15 18:18:59
convert2json-csv-json-2.4.1-r0.apk
220.98KB
2025-12-15 18:18:59
convert2json-doc-2.4.1-r0.apk
13.39KB
2025-12-15 18:18:59
convert2json-ini-2.4.1-r0.apk
1.28KB
2025-12-15 18:18:59
convert2json-ini-jaq-2.4.1-r0.apk
196.79KB
2025-12-15 18:18:59
convert2json-ini-json-2.4.1-r0.apk
186.30KB
2025-12-15 18:18:59
convert2json-jaq-2.4.1-r0.apk
1.37KB
2025-12-15 18:18:59
convert2json-json-2.4.1-r0.apk
1.35KB
2025-12-15 18:18:59
convert2json-messagepack-2.4.1-r0.apk
1.29KB
2025-12-15 18:18:59
convert2json-messagepack-jaq-2.4.1-r0.apk
210.51KB
2025-12-15 18:18:59
convert2json-messagepack-json-2.4.1-r0.apk
200.42KB
2025-12-15 18:18:59
convert2json-plist-2.4.1-r0.apk
1.28KB
2025-12-15 18:18:59
convert2json-plist-jaq-2.4.1-r0.apk
254.17KB
2025-12-15 18:18:59
convert2json-plist-json-2.4.1-r0.apk
243.71KB
2025-12-15 18:18:59
convert2json-rsv-2.4.1-r0.apk
1.29KB
2025-12-15 18:18:59
convert2json-rsv-jaq-2.4.1-r0.apk
176.81KB
2025-12-15 18:18:59
convert2json-rsv-json-2.4.1-r0.apk
164.42KB
2025-12-15 18:18:59
convert2json-toml-2.4.1-r0.apk
1.29KB
2025-12-15 18:18:59
convert2json-toml-jaq-2.4.1-r0.apk
250.64KB
2025-12-15 18:18:59
convert2json-toml-json-2.4.1-r0.apk
239.94KB
2025-12-15 18:18:59
convert2json-xml-2.4.1-r0.apk
1.29KB
2025-12-15 18:18:59
convert2json-xml-jaq-2.4.1-r0.apk
212.21KB
2025-12-15 18:18:59
convert2json-xml-json-2.4.1-r0.apk
201.51KB
2025-12-15 18:18:59
convert2json-yaml-2.4.1-r0.apk
1.29KB
2025-12-15 18:18:59
convert2json-yaml-jaq-2.4.1-r0.apk
275.83KB
2025-12-15 18:18:59
convert2json-yaml-json-2.4.1-r0.apk
265.47KB
2025-12-15 18:18:59
copyq-13.0.0-r1.apk
2.63MB
2025-12-05 00:48:29
copyq-bash-completion-13.0.0-r1.apk
2.27KB
2025-12-05 00:48:29
copyq-doc-13.0.0-r1.apk
3.49KB
2025-12-05 00:48:29
corosync-3.1.10-r0.apk
274.88KB
2025-12-27 12:38:29
corosync-dev-3.1.10-r0.apk
482.53KB
2025-12-27 12:38:29
corosync-doc-3.1.10-r0.apk
190.59KB
2025-12-27 12:38:29
corosync-openrc-3.1.10-r0.apk
1.78KB
2025-12-27 12:38:29
cortex-tenant-1.15.7-r2.apk
4.37MB
2025-12-05 00:48:29
cortex-tenant-openrc-1.15.7-r2.apk
2.04KB
2025-12-05 00:48:29
cosmopolitan-1.0-r0.apk
5.49MB
2024-10-25 21:59:42
cosmopolitan-doc-1.0-r0.apk
151.97KB
2024-10-25 21:59:42
cowsay-3.04-r2.apk
18.37KB
2024-10-25 21:59:42
cowsay-doc-3.04-r2.apk
4.00KB
2024-10-25 21:59:42
cpiped-0.1.0-r0.apk
6.35KB
2024-10-25 21:59:42
cpp-httplib-0.30.1-r0.apk
95.73KB
2026-01-10 17:30:33
cpp-httplib-doc-0.30.1-r0.apk
13.89KB
2026-01-10 17:30:33
cpplint-2.0.2-r0.apk
80.29KB
2025-04-14 02:26:54
cpplint-pyc-2.0.2-r0.apk
99.49KB
2025-04-14 02:26:54
cproc-0_git20240427-r1.apk
52.49KB
2024-11-03 23:51:54
cproc-dbg-0_git20240427-r1.apk
121.35KB
2024-11-03 23:51:54
cproc-doc-0_git20240427-r1.apk
2.85KB
2024-11-03 23:51:54
cpu-x-5.2.0-r1.apk
2.13MB
2025-05-06 13:05:58
cpu-x-bash-completion-5.2.0-r1.apk
2.01KB
2025-05-06 13:05:58
cpu-x-fish-completion-5.2.0-r1.apk
2.21KB
2025-05-06 13:05:58
cpu-x-lang-5.2.0-r1.apk
265.93KB
2025-05-06 13:05:58
cpu-x-zsh-completion-5.2.0-r1.apk
2.13KB
2025-05-06 13:05:58
cpuburn-1.4a_git20160316-r2.apk
4.06KB
2024-10-25 21:59:42
cpufetch-1.07-r0.apk
45.69KB
2025-11-01 12:01:11
cpufetch-doc-1.07-r0.apk
3.19KB
2025-11-01 12:01:11
crazydiskinfo-1.1.0-r1.apk
33.61KB
2024-10-25 21:59:42
createrepo_c-1.1.4-r1.apk
45.72KB
2025-12-30 22:57:53
createrepo_c-bash-completion-1.1.4-r1.apk
2.89KB
2025-12-30 22:57:53
createrepo_c-dev-1.1.4-r1.apk
30.57KB
2025-12-30 22:57:53
createrepo_c-doc-1.1.4-r1.apk
8.61KB
2025-12-30 22:57:53
createrepo_c-libs-1.1.4-r1.apk
87.65KB
2025-12-30 22:57:53
crispy-doom-7.1-r0.apk
1.76MB
2025-09-24 05:49:31
crispy-doom-doc-7.1-r0.apk
107.38KB
2025-09-24 05:49:31
criu-3.19-r3.apk
684.30KB
2025-06-12 16:59:28
criu-dev-3.19-r3.apk
90.64KB
2025-06-12 16:59:28
criu-doc-3.19-r3.apk
24.08KB
2025-06-12 16:59:28
crossplane-0.5.8-r3.apk
30.20KB
2024-10-25 21:59:42
crossplane-pyc-0.5.8-r3.apk
39.25KB
2024-10-25 21:59:42
crow-translate-4.0.2-r0.apk
10.73MB
2025-09-22 04:17:13
crow-translate-lang-4.0.2-r0.apk
553.90KB
2025-09-22 04:17:13
crowdsec-1.7.4-r0.apk
41.69MB
2025-12-05 04:57:20
crowdsec-email-plugin-1.7.4-r0.apk
6.50MB
2025-12-05 04:57:20
crowdsec-file-plugin-1.7.4-r0.apk
6.45MB
2025-12-05 04:57:20
crowdsec-http-plugin-1.7.4-r0.apk
6.45MB
2025-12-05 04:57:21
crowdsec-openrc-1.7.4-r0.apk
1.80KB
2025-12-05 04:57:21
crowdsec-sentinel-plugin-1.7.4-r0.apk
6.45MB
2025-12-05 04:57:21
crowdsec-slack-plugin-1.7.4-r0.apk
6.50MB
2025-12-05 04:57:21
crowdsec-splunk-plugin-1.7.4-r0.apk
6.45MB
2025-12-05 04:57:21
crun-vm-0.3.0-r0.apk
1.07MB
2024-11-12 13:40:49
crun-vm-doc-0.3.0-r0.apk
12.89KB
2024-11-12 13:40:49
cscope-15.9-r1.apk
151.35KB
2024-10-25 21:59:43
cscope-doc-15.9-r1.apk
7.49KB
2024-10-25 21:59:43
csfml-2.5.2-r0.apk
92.75KB
2024-10-25 21:59:43
csfml-dev-2.5.2-r0.apk
77.21KB
2024-10-25 21:59:43
csfml-doc-2.5.2-r0.apk
204.01KB
2024-10-25 21:59:43
csmith-2.3.0-r2.apk
303.55KB
2024-10-25 21:59:43
csmith-doc-2.3.0-r2.apk
3.07KB
2024-10-25 21:59:43
csol-1.6.0-r0.apk
37.60KB
2024-10-25 21:59:43
csol-doc-1.6.0-r0.apk
3.85KB
2024-10-25 21:59:43
ctorrent-dnh-3.3.2-r2.apk
86.18KB
2024-10-25 21:59:43
cups-pdf-3.0.2-r0.apk
21.51KB
2025-07-05 00:11:43
cura-5.2.2-r1.apk
42.10MB
2024-10-25 21:59:44
cura-lang-5.2.2-r1.apk
4.08MB
2024-10-25 21:59:44
curlftpfs-0.9.2-r3.apk
24.85KB
2024-10-25 21:59:44
curlftpfs-doc-0.9.2-r3.apk
6.12KB
2024-10-25 21:59:44
curtail-1.13.0-r0.apk
30.36KB
2025-07-05 23:40:00
curtail-lang-1.13.0-r0.apk
77.52KB
2025-07-05 23:40:00
cutechess-1.3.1-r0.apk
1.05MB
2024-10-25 21:59:44
cutechess-cli-1.3.1-r0.apk
324.36KB
2024-10-25 21:59:44
cutechess-cli-doc-1.3.1-r0.apk
6.58KB
2024-10-25 21:59:44
cutechess-doc-1.3.1-r0.apk
3.57KB
2024-10-25 21:59:44
cvise-2.11.0-r0.apk
5.22MB
2025-03-11 13:18:59
cvise-pyc-2.11.0-r0.apk
59.70KB
2025-03-11 13:18:59
cvs-fast-export-1.65-r0.apk
45.76KB
2024-10-25 21:59:44
cvs-fast-export-doc-1.65-r0.apk
17.45KB
2024-10-25 21:59:44
cvs-fast-export-tools-1.65-r0.apk
8.66KB
2024-10-25 21:59:44
cyrus-sasl-xoauth2-0.2-r1.apk
6.74KB
2024-10-25 21:59:44
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2.28KB
2024-10-25 21:59:44
cyrus-sasl-xoauth2-static-0.2-r1.apk
6.90KB
2024-10-25 21:59:44
cz-viator-hourglass-black-20210706-r0.apk
219.05KB
2024-10-25 21:59:44
daemontools-0.76-r3.apk
61.13KB
2024-10-25 21:59:44
daemontools-openrc-0.76-r3.apk
1.96KB
2024-10-25 21:59:44
daktilo-0.6.0-r0.apk
1.76MB
2024-10-25 21:59:44
daktilo-bash-completion-0.6.0-r0.apk
2.17KB
2024-10-25 21:59:44
daktilo-doc-0.6.0-r0.apk
8.67KB
2024-10-25 21:59:44
daktilo-fish-completion-0.6.0-r0.apk
1.94KB
2024-10-25 21:59:44
daktilo-zsh-completion-0.6.0-r0.apk
2.27KB
2024-10-25 21:59:44
dam-0_git20250728-r0.apk
13.05KB
2025-12-22 23:22:35
dam-doc-0_git20250728-r0.apk
2.29KB
2025-12-22 23:22:35
darkradiant-3.9.0-r1.apk
9.12MB
2026-01-09 22:19:32
darkradiant-doc-3.9.0-r1.apk
2.23MB
2026-01-09 22:19:32
darkradiant-lang-3.9.0-r1.apk
37.22KB
2026-01-09 22:19:32
darkreader-4.9.110-r0.apk
767.41KB
2025-08-21 11:58:49
dart-3.10.3-r0.apk
43.41MB
2025-12-05 04:56:10
dart-sass-1.97.2-r0.apk
1.40MB
2026-01-09 23:26:01
dart-sdk-3.10.3-r0.apk
136.11MB
2025-12-05 04:56:12
dart-stage0-3.10.0_alpha244_p0-r0.apk
181.67MB
2025-11-16 19:55:55
dartaotruntime-3.10.3-r0.apk
1.50MB
2025-12-05 04:56:12
darts-clone-0.32h-r0.apk
38.39KB
2025-07-06 10:09:26
darts-clone-dev-0.32h-r0.apk
13.20KB
2025-07-06 10:09:26
dasht-2.4.0-r0.apk
14.24KB
2024-10-25 21:59:51
dasht-doc-2.4.0-r0.apk
11.41KB
2024-10-25 21:59:51
dasht-zsh-completion-2.4.0-r0.apk
2.09KB
2024-10-25 21:59:51
davmail-6.5.1-r0.apk
8.32MB
2025-11-15 01:27:16
dbmate-2.28.0-r1.apk
11.29MB
2025-12-05 00:48:33
dbmate-doc-2.28.0-r1.apk
2.26KB
2025-12-05 00:48:33
dbus-broker-37-r0.apk
84.65KB
2025-06-17 13:22:16
dbus-broker-doc-37-r0.apk
5.87KB
2025-06-17 13:22:16
dcmtk-3.7.0-r0.apk
1.25MB
2025-12-28 22:54:33
dcmtk-dev-3.7.0-r0.apk
1.66MB
2025-12-28 22:54:33
dcmtk-doc-3.7.0-r0.apk
258.22KB
2025-12-28 22:54:33
dcmtk-openrc-3.7.0-r0.apk
1.75KB
2025-12-28 22:54:33
dcnnt-0.10.0-r1.apk
27.78KB
2024-10-25 21:59:51
dcnnt-doc-0.10.0-r1.apk
6.59KB
2024-10-25 21:59:51
dcnnt-pyc-0.10.0-r1.apk
61.67KB
2024-10-25 21:59:51
ddcci-driver-linux-src-0.4.5-r2.apk
19.12KB
2025-03-21 18:20:55
ddgr-2.2-r0.apk
20.10KB
2024-10-25 21:59:51
ddgr-bash-completion-2.2-r0.apk
2.23KB
2024-10-25 21:59:51
ddgr-doc-2.2-r0.apk
11.55KB
2024-10-25 21:59:51
ddgr-fish-completion-2.2-r0.apk
2.30KB
2024-10-25 21:59:51
ddgr-zsh-completion-2.2-r0.apk
2.70KB
2024-10-25 21:59:51
ddserver-0_git20200930-r1.apk
11.94KB
2024-10-25 21:59:51
deadbeef-soxr-20180801-r0.apk
5.99KB
2024-10-25 21:59:51
debconf-1.5.82-r0.apk
69.40KB
2024-10-25 21:59:51
debconf-bash-completion-1.5.82-r0.apk
1.86KB
2024-10-25 21:59:51
debconf-doc-1.5.82-r0.apk
26.80KB
2024-10-25 21:59:51
debconf-lang-1.5.82-r0.apk
132.43KB
2024-10-25 21:59:51
debconf-utils-1.5.82-r0.apk
6.66KB
2024-10-25 21:59:51
deblob-0.12-r0.apk
110.19KB
2025-10-15 01:47:51
deblob-doc-0.12-r0.apk
3.80KB
2025-10-15 01:47:51
decoder-0.7.0-r0.apk
1.95MB
2025-04-10 17:09:58
decoder-lang-0.7.0-r0.apk
58.96KB
2025-04-10 17:09:58
dehydrated-0.7.1-r0.apk
26.44KB
2024-10-25 21:59:51
desed-1.2.1-r1.apk
385.84KB
2024-10-25 21:59:51
desed-doc-1.2.1-r1.apk
2.88KB
2024-10-25 21:59:51
desync-0.9.6-r10.apk
7.99MB
2025-12-05 00:48:33
detox-2.0.0-r0.apk
107.51KB
2024-10-25 21:59:52
detox-doc-2.0.0-r0.apk
20.74KB
2024-10-25 21:59:52
deviced-0_git20250427-r0.apk
118.83KB
2025-07-05 23:03:56
deviced-dev-0_git20250427-r0.apk
26.09KB
2025-07-05 23:03:56
deviced-openrc-0_git20250427-r0.apk
1.70KB
2025-07-05 23:03:56
devil-1.8.0-r0.apk
241.08KB
2024-10-25 21:59:52
devil-dev-1.8.0-r0.apk
12.90KB
2024-10-25 21:59:52
devpod-0.6.15-r9.apk
24.88MB
2025-12-05 00:48:33
devpod-bash-completion-0.6.15-r9.apk
5.06KB
2025-12-05 00:48:33
devpod-fish-completion-0.6.15-r9.apk
4.31KB
2025-12-05 00:48:33
devpod-zsh-completion-0.6.15-r9.apk
4.04KB
2025-12-05 00:48:33
dewduct-0.2.3-r0.apk
1.10MB
2024-10-25 21:59:52
dfl-applications-0.3.0-r0.apk
63.64KB
2025-08-21 10:47:58
dfl-applications-dev-0.3.0-r0.apk
3.93KB
2025-08-21 10:47:58
dfl-ipc-0.3.0-r0.apk
47.42KB
2025-08-21 10:47:58
dfl-ipc-dev-0.3.0-r0.apk
4.79KB
2025-08-21 10:47:58
dfl-login1-0.3.0-r0.apk
34.39KB
2025-08-21 10:47:58
dfl-login1-dev-0.3.0-r0.apk
3.70KB
2025-08-21 10:47:58
dfl-sni-0.3.0-r0.apk
59.35KB
2025-08-21 10:47:58
dfl-sni-dev-0.3.0-r0.apk
4.95KB
2025-08-21 10:47:58
dfu-programmer-1.1.0-r0.apk
35.03KB
2024-10-25 21:59:52
dfu-programmer-bash-completion-1.1.0-r0.apk
2.78KB
2024-10-25 21:59:52
dfu-programmer-doc-1.1.0-r0.apk
5.76KB
2024-10-25 21:59:52
dhewm3-1.5.4-r0.apk
4.96MB
2025-02-22 16:38:43
diceware-1.0.1-r0.apk
334.02KB
2025-01-14 00:49:55
diceware-pyc-1.0.1-r0.apk
18.05KB
2025-01-14 00:49:55
disfetch-3.7-r0.apk
8.29KB
2024-10-25 21:59:52
diskonaut-0.11.0-r3.apk
439.79KB
2024-10-25 21:59:52
diskus-0.8.0-r0.apk
338.13KB
2025-05-19 01:20:42
dislocker-0.7.3-r6.apk
11.46KB
2025-07-20 01:52:17
dislocker-doc-0.7.3-r6.apk
6.02KB
2025-07-20 01:52:17
dislocker-libs-0.7.3-r6.apk
43.62KB
2025-07-20 01:52:17
distroshelf-1.3.0-r0.apk
3.87MB
2025-12-27 17:42:00
distroshelf-lang-1.3.0-r0.apk
37.41KB
2025-12-27 17:42:00
dlib-19.24.4-r0.apk
764.89KB
2024-10-25 21:59:52
dlib-dev-19.24.4-r0.apk
2.43MB
2024-10-25 21:59:52
dmarc-cat-0.15.0-r10.apk
2.89MB
2025-12-05 00:48:33
dmarc-metrics-exporter-1.2.0-r0.apk
25.27KB
2024-11-30 00:00:42
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
1.85KB
2024-11-30 00:00:42
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
46.46KB
2024-11-30 00:00:42
dmenu-wl-0.1-r0.apk
16.81KB
2025-07-02 13:32:48
dmenu-wl-doc-0.1-r0.apk
4.08KB
2025-07-02 13:32:48
dnote-0.16.0-r0.apk
4.05MB
2025-12-10 20:29:00
dnote-bash-completion-0.16.0-r0.apk
2.09KB
2025-12-10 20:29:00
dnote-doc-0.16.0-r0.apk
6.29KB
2025-12-10 20:29:00
dnote-zsh-completion-0.16.0-r0.apk
2.02KB
2025-12-10 20:29:00
dnscontrol-4.30.0-r0.apk
17.70MB
2026-01-08 00:28:51
dnscontrol-doc-4.30.0-r0.apk
2.28KB
2026-01-08 00:28:51
dnscrypt-wrapper-0.4.2-r3.apk
29.09KB
2024-10-25 21:59:53
dnsenum-1.3.2-r0.apk
21.23KB
2024-10-25 21:59:53
dnsenum-doc-1.3.2-r0.apk
5.24KB
2024-10-25 21:59:53
dnsperf-2.14.0-r0.apk
70.94KB
2024-10-25 21:59:53
dnsperf-doc-2.14.0-r0.apk
34.94KB
2024-10-25 21:59:53
dnssec-tools-2.2.3-r13.apk
751.95KB
2025-06-30 11:08:57
dnssec-tools-dev-2.2.3-r13.apk
187.60KB
2025-06-30 11:08:57
dnssec-tools-doc-2.2.3-r13.apk
317.02KB
2025-06-30 11:08:57
doasedit-1.0.9-r0.apk
3.46KB
2025-10-31 17:15:00
docker-machine-driver-kvm2-1.34.0-r10.apk
4.37MB
2025-12-05 00:48:34
docker-volume-local-persist-1.3.0-r38.apk
2.66MB
2025-12-05 00:48:34
docker-volume-local-persist-openrc-1.3.0-r38.apk
1.79KB
2025-12-05 00:48:34
dockerize-0.9.6-r2.apk
3.55MB
2025-12-05 00:48:34
dodo-0_git20250926-r0.apk
187.89KB
2025-09-28 00:58:18
dodo-pyc-0_git20250926-r0.apk
88.67KB
2025-09-28 00:58:18
dolt-1.79.1-r0.apk
39.40MB
2025-12-16 16:09:11
dooit-3.3.3-r0.apk
45.90KB
2025-10-27 10:46:22
dooit-extras-0.2.0-r0.apk
12.73KB
2024-12-07 22:27:05
dooit-extras-pyc-0.2.0-r0.apk
22.79KB
2024-12-07 22:27:05
dooit-pyc-3.3.3-r0.apk
103.15KB
2025-10-27 10:46:22
dotenv-linter-4.0.0-r0.apk
1.48MB
2025-11-22 18:18:29
downloader-cli-0.3.4-r2.apk
1.98KB
2025-05-14 21:16:02
dprint-0.49.1-r0.apk
4.13MB
2025-04-14 01:35:17
dprint-bash-completion-0.49.1-r0.apk
3.24KB
2025-04-14 01:35:17
dprint-doc-0.49.1-r0.apk
3.19KB
2025-04-14 01:35:17
dprint-fish-completion-0.49.1-r0.apk
3.78KB
2025-04-14 01:35:17
dprint-zsh-completion-0.49.1-r0.apk
4.14KB
2025-04-14 01:35:17
draco-1.5.7-r2.apk
816.95KB
2025-02-22 16:38:44
draco-dev-1.5.7-r2.apk
204.71KB
2025-02-22 16:38:44
draco-static-1.5.7-r2.apk
1.40MB
2025-02-22 16:38:44
draco-tools-1.5.7-r2.apk
1.22MB
2025-02-22 16:38:44
draw-0.1.1-r18.apk
1.04MB
2025-12-05 00:48:35
drogon-1.9.4-r2.apk
1.53MB
2025-05-22 10:16:17
drogon-dev-1.9.4-r2.apk
121.35KB
2025-05-22 10:16:17
drogon-doc-1.9.4-r2.apk
2.27KB
2025-05-22 10:16:17
droidcam-2.1.3-r3.apk
17.75KB
2025-09-27 17:27:09
droidcam-gui-2.1.3-r3.apk
26.86KB
2025-09-27 17:27:09
drone-cli-1.8.0-r15.apk
6.12MB
2025-12-05 00:48:35
dropwatch-1.5.5-r2.apk
14.91KB
2025-12-05 00:48:35
dropwatch-doc-1.5.5-r2.apk
3.70KB
2025-12-05 00:48:35
drumgizmo-0.9.20-r1.apk
394.50KB
2024-10-25 22:00:49
drupal7-7.103-r0.apk
3.28MB
2024-12-04 19:27:58
drupal7-doc-7.103-r0.apk
57.46KB
2024-12-04 19:27:58
dsp-2.0-r2.apk
157.97KB
2025-09-27 17:27:09
dsp-doc-2.0-r2.apk
10.13KB
2025-09-27 17:27:09
dstask-0.27-r4.apk
1.62MB
2025-12-05 00:48:35
dstask-bash-completion-0.27-r4.apk
2.19KB
2025-12-05 00:48:35
dstask-fish-completion-0.27-r4.apk
1.67KB
2025-12-05 00:48:35
dstask-import-0.27-r4.apk
3.68MB
2025-12-05 00:48:35
dstask-zsh-completion-0.27-r4.apk
1.66KB
2025-12-05 00:48:35
dublin-traceroute-0.4.2-r4.apk
45.00KB
2024-10-25 22:00:49
dublin-traceroute-contrib-0.4.2-r4.apk
2.86KB
2024-10-25 22:00:49
dublin-traceroute-dev-0.4.2-r4.apk
6.89KB
2024-10-25 22:00:49
dublin-traceroute-doc-0.4.2-r4.apk
2.31KB
2024-10-25 22:00:49
duc-1.4.5-r0.apk
83.67KB
2024-10-25 22:00:49
duc-doc-1.4.5-r0.apk
9.09KB
2024-10-25 22:00:49
duckdb-1.4.3-r1.apk
17.77MB
2026-01-08 17:48:26
duckdb-dev-1.4.3-r1.apk
26.22MB
2026-01-08 17:48:26
duckdb-doc-1.4.3-r1.apk
2.23KB
2026-01-08 17:48:26
duckdb-libs-1.4.3-r1.apk
19.00MB
2026-01-08 17:48:27
duf-0.9.1-r2.apk
1.28MB
2025-12-05 00:48:35
duf-doc-0.9.1-r2.apk
4.72KB
2025-12-05 00:48:35
dulcepan-1.0.2-r0.apk
19.93KB
2024-10-25 22:00:49
dum-0.1.20-r1.apk
346.77KB
2025-04-03 03:11:53
dune-deps-1.3.0-r2.apk
797.58KB
2024-10-25 22:00:49
dustracing2d-2.1.1-r1.apk
5.13MB
2024-10-25 22:00:49
dvdbackup-0.4.2-r1.apk
15.85KB
2024-10-25 22:00:49
dvdbackup-doc-0.4.2-r1.apk
7.58KB
2024-10-25 22:00:49
dvdbackup-lang-0.4.2-r1.apk
1.43KB
2024-10-25 22:00:49
dvisvgm-3.4.4-r0.apk
1.05MB
2025-05-19 01:44:14
dvisvgm-doc-3.4.4-r0.apk
26.08KB
2025-05-19 01:44:14
dwl-0.7-r0.apk
26.85KB
2024-10-25 22:00:49
dwl-doc-0.7-r0.apk
3.12KB
2024-10-25 22:00:49
e16-1.0.30-r0.apk
783.10KB
2024-11-05 15:22:02
e16-doc-1.0.30-r0.apk
27.25KB
2024-11-05 15:22:02
e16-lang-1.0.30-r0.apk
379.63KB
2024-11-05 15:22:02
eatmemory-0.1.6-r2.apk
4.30KB
2024-10-25 22:00:49
ecasound-2.9.3-r4.apk
673.97KB
2025-02-24 23:28:58
ecasound-dev-2.9.3-r4.apk
1.07MB
2025-02-24 23:28:58
ecasound-doc-2.9.3-r4.apk
38.45KB
2025-02-24 23:28:58
eccodes-2.44.0-r0.apk
10.79MB
2025-10-09 10:23:27
eclib-20250627-r2.apk
325.92KB
2025-11-26 10:24:44
eclib-dev-20250627-r2.apk
95.78KB
2025-11-26 10:24:44
eclib-doc-20250627-r2.apk
27.95KB
2025-11-26 10:24:44
eclib-libs-20250627-r2.apk
1.25MB
2025-11-26 10:24:44
eclib-static-20250627-r2.apk
20.21MB
2025-11-26 10:24:44
eclipse-ecj-4.37-r0.apk
2.54MB
2025-09-22 16:04:37
ecos-2.0.10-r0.apk
39.35KB
2024-10-25 22:00:50
ecos-dev-2.0.10-r0.apk
27.92KB
2024-10-25 22:00:50
edit-1.2.1-r0.apk
248.69KB
2025-10-16 16:17:07
edit-doc-1.2.1-r0.apk
2.25KB
2025-10-16 16:17:07
edward-1.1.0-r0.apk
1.97MB
2024-10-25 22:00:50
edward-doc-1.1.0-r0.apk
5.27KB
2024-10-25 22:00:50
efl-1.28.1-r2.apk
33.80MB
2025-03-24 06:33:18
efl-dev-1.28.1-r2.apk
1.82MB
2025-03-24 06:33:19
efl-gdb-1.28.1-r2.apk
1.69KB
2025-03-24 06:33:19
eiwd-3.10-r0.apk
856.73KB
2025-10-07 14:53:25
eiwd-doc-3.10-r0.apk
20.51KB
2025-10-07 14:53:25
eiwd-openrc-3.10-r0.apk
1.88KB
2025-10-07 14:53:25
electron-39.2.7-r0.apk
97.37MB
2025-12-18 21:03:23
electron-dev-39.2.7-r0.apk
340.94KB
2025-12-18 21:03:23
electron-lang-39.2.7-r0.apk
10.77MB
2025-12-18 21:03:23
electron-tasje-0.7.4-r0.apk
1.24MB
2026-01-10 00:26:23
element-desktop-1.12.7-r0.apk
30.89MB
2026-01-10 05:12:01
elementary-calculator-8.0.1-r0.apk
71.67KB
2025-09-02 05:29:47
elementary-calculator-lang-8.0.1-r0.apk
59.49KB
2025-09-02 05:29:47
elementary-camera-8.0.2-r0.apk
84.97KB
2025-09-02 05:25:34
elementary-camera-lang-8.0.2-r0.apk
35.17KB
2025-09-02 05:25:34
elementary-dock-8.0.2-r0.apk
87.63KB
2025-05-25 01:57:51
elementary-dock-lang-8.0.2-r0.apk
27.56KB
2025-05-25 01:57:51
elementary-feedback-8.1.0-r0.apk
46.43KB
2025-11-22 17:38:43
elementary-feedback-lang-8.1.0-r0.apk
48.48KB
2025-11-22 17:38:43
elementary-icon-theme-8.1.0-r0.apk
5.05MB
2025-05-14 03:10:28
elementary-music-8.0.0-r0.apk
72.84KB
2024-10-29 00:06:10
elementary-music-lang-8.0.0-r0.apk
47.49KB
2024-10-29 00:06:10
elementary-photos-8.0.1-r0.apk
1.15MB
2024-12-03 01:40:34
elementary-photos-lang-8.0.1-r0.apk
1.00MB
2024-12-03 01:40:34
elementary-settings-daemon-8.3.0-r0.apk
82.00KB
2025-05-26 12:05:14
elementary-settings-daemon-lang-8.3.0-r0.apk
74.02KB
2025-05-26 12:05:14
elementary-settings-daemon-openrc-8.3.0-r0.apk
1.80KB
2025-05-26 12:05:14
elementary-sound-theme-1.1.0-r0.apk
83.07KB
2024-11-11 00:08:00
elementary-theme-8.1.0-r0.apk
1.50MB
2025-01-12 22:52:19
elementary-videos-8.0.2-r0.apk
113.72KB
2025-09-02 05:28:24
elementary-videos-lang-8.0.2-r0.apk
83.42KB
2025-09-02 05:28:24
elf_diff-0.7.1-r3.apk
108.28KB
2024-10-25 22:00:53
elf_diff-pyc-0.7.1-r3.apk
107.96KB
2024-10-25 22:00:53
eludris-0.3.3-r1.apk
1.85MB
2024-10-25 22:00:53
eludris-doc-0.3.3-r1.apk
2.29KB
2024-10-25 22:00:53
emacs-ement-0.16-r0.apk
290.71KB
2025-04-23 08:11:16
emacs-helm-3.9.7_git20240329-r0.apk
815.17KB
2024-10-25 22:00:53
emacs-lsp-booster-0.2.1-r0.apk
410.21KB
2025-04-14 00:31:43
emacs-lsp-booster-doc-0.2.1-r0.apk
2.27KB
2025-04-14 00:31:43
emacs-persist-0.6_git20240114-r0.apk
6.63KB
2024-10-25 22:00:53
emacs-total-recall-0_git20250426-r0.apk
17.60KB
2025-05-01 01:48:06
emacs-total-recall-examples-0_git20250426-r0.apk
13.93KB
2025-05-01 01:48:06
emmylua-check-0.17.0-r0.apk
1.89MB
2025-12-22 02:13:58
emmylua-doc-cli-0.17.0-r0.apk
2.29MB
2025-12-22 02:13:58
emmylua-ls-0.17.0-r0.apk
2.81MB
2025-12-22 02:13:58
emmylua-ls-doc-0.17.0-r0.apk
36.73KB
2025-12-22 02:13:58
empede-0.2.3-r0.apk
1.64MB
2024-10-25 22:00:53
empede-doc-0.2.3-r0.apk
2.29KB
2024-10-25 22:00:53
empede-openrc-0.2.3-r0.apk
1.92KB
2024-10-25 22:00:53
emulationstation-2.11.2-r1.apk
1.26MB
2024-10-25 22:00:53
emulationstation-theme-gbz35-2.11.2-r1.apk
3.26MB
2024-10-25 22:00:53
endeavour-43.0-r2.apk
190.73KB
2024-12-08 23:48:43
endeavour-dev-43.0-r2.apk
45.59KB
2024-12-08 23:48:43
endeavour-doc-43.0-r2.apk
68.07KB
2024-12-08 23:48:43
endeavour-lang-43.0-r2.apk
203.14KB
2024-12-08 23:48:43
endlessh-1.1-r1.apk
9.11KB
2025-05-25 10:49:46
endlessh-doc-1.1-r1.apk
2.66KB
2025-05-25 10:49:46
enjoy-0.3-r1.apk
11.93KB
2024-10-25 22:00:57
enlighten-0.9.2-r1.apk
6.96KB
2024-10-25 22:00:57
enlighten-doc-0.9.2-r1.apk
3.51KB
2024-10-25 22:00:57
envconsul-0.13.4-r1.apk
5.15MB
2025-12-05 00:48:35
envsubst-0.1-r1.apk
4.67KB
2024-10-25 22:00:57
epic6-0_git20250821-r0.apk
365.47KB
2025-09-06 19:20:14
epic6-doc-0_git20250821-r0.apk
17.20KB
2025-09-06 19:20:14
epic6-script-0_git20250821-r0.apk
148.98KB
2025-09-06 19:20:14
epoch-1.3.0-r2.apk
55.29KB
2024-10-25 22:00:57
epr-2.4.15-r1.apk
15.70KB
2024-10-25 22:00:57
epr-pyc-2.4.15-r1.apk
24.42KB
2024-10-25 22:00:57
ergo-ldap-0.0.1-r22.apk
2.31MB
2025-12-05 00:48:35
ergo-ldap-doc-0.0.1-r22.apk
2.29KB
2025-12-05 00:48:35
errands-46.2.10-r0.apk
84.51KB
2026-01-07 02:06:07
errands-lang-46.2.10-r0.apk
77.75KB
2026-01-07 02:06:07
espeakup-0.90-r2.apk
10.80KB
2024-10-25 22:00:57
espeakup-openrc-0.90-r2.apk
1.82KB
2024-10-25 22:00:57
esptool-4.8.1-r0.apk
424.01KB
2024-10-25 22:00:57
esptool-pyc-4.8.1-r0.apk
549.34KB
2024-10-25 22:00:57
ettercap-0.8.3.1-r3.apk
556.12KB
2024-10-25 22:00:57
ettercap-doc-0.8.3.1-r3.apk
45.12KB
2024-10-25 22:00:57
eva-0.3.1-r2.apk
631.62KB
2024-10-25 22:00:57
evolution-etesync-1.1.2-r0.apk
53.09KB
2026-01-11 20:31:50
evolution-etesync-lang-1.1.2-r0.apk
24.73KB
2026-01-11 20:31:50
evolution-on-3.24.4-r1.apk
9.91KB
2025-09-18 20:36:18
eww-0.6.0-r0.apk
2.93MB
2025-11-09 21:47:35
exabgp-4.2.24-r1.apk
384.82KB
2025-09-06 19:20:14
exabgp-doc-4.2.24-r1.apk
8.10KB
2025-09-06 19:20:14
exabgp-openrc-4.2.24-r1.apk
2.25KB
2025-09-06 19:20:14
exabgp-pyc-4.2.24-r1.apk
778.26KB
2025-09-06 19:20:14
exercism-3.2.0-r18.apk
4.28MB
2025-12-05 00:48:35
exercism-bash-completion-3.2.0-r18.apk
1.97KB
2025-12-05 00:48:35
exercism-fish-completion-3.2.0-r18.apk
2.37KB
2025-12-05 00:48:35
exercism-zsh-completion-3.2.0-r18.apk
2.11KB
2025-12-05 00:48:35
extrace-0.9-r0.apk
9.43KB
2024-10-25 22:00:57
extrace-doc-0.9-r0.apk
3.52KB
2024-10-25 22:00:57
extremetuxracer-0.8.3-r0.apk
39.53MB
2024-10-25 22:00:58
extremetuxracer-doc-0.8.3-r0.apk
6.70KB
2024-10-25 22:00:58
extundelete-0.2.4-r1.apk
39.16KB
2024-10-25 22:00:58
fabric-3.2.2-r1.apk
54.64KB
2024-10-25 22:00:58
fabric-pyc-3.2.2-r1.apk
60.15KB
2024-10-25 22:00:58
faircamp-1.7.0-r1.apk
2.48MB
2026-01-13 01:13:21
fakeroot-tcp-1.32.1-r1.apk
27.93KB
2024-10-25 22:00:58
fast-double-parser-0.8.1-r0.apk
25.32KB
2025-10-20 13:31:15
fastd-23-r0.apk
73.45KB
2025-01-29 18:00:48
fastd-doc-23-r0.apk
3.28KB
2025-01-29 18:00:48
fastd-openrc-23-r0.apk
1.70KB
2025-01-29 18:00:48
fatback-1.3-r2.apk
28.25KB
2024-10-25 22:00:58
fatback-doc-1.3-r2.apk
16.08KB
2024-10-25 22:00:58
fathom-1.3.1-r18.apk
5.07MB
2025-12-05 00:48:35
fatrace-0.18.0-r0.apk
9.86KB
2025-07-27 22:28:50
fatrace-doc-0.18.0-r0.apk
3.31KB
2025-07-27 22:28:50
fatresize-1.1.0-r1.apk
8.66KB
2024-10-25 22:00:58
fatresize-doc-1.1.0-r1.apk
15.20KB
2024-10-25 22:00:58
faultstat-0.01.11-r0.apk
12.35KB
2024-10-25 22:00:58
faultstat-bash-completion-0.01.11-r0.apk
2.30KB
2024-10-25 22:00:58
faultstat-doc-0.01.11-r0.apk
3.04KB
2024-10-25 22:00:58
faust-2.79.3-r0.apk
8.07MB
2025-06-07 20:01:05
faust-dev-2.79.3-r0.apk
1.37MB
2025-06-07 20:01:05
faust-doc-2.79.3-r0.apk
16.69MB
2025-06-07 20:01:05
faust-static-2.79.3-r0.apk
521.34KB
2025-06-07 20:01:05
faust-tools-2.79.3-r0.apk
120.38KB
2025-06-07 20:01:05
faust-vim-2.79.3-r0.apk
2.60KB
2025-06-07 20:01:05
fava-1.28-r0.apk
1.07MB
2024-10-25 22:00:59
fava-pyc-1.28-r0.apk
164.10KB
2024-10-25 22:00:59
fbcur-1.0.1-r1.apk
4.52KB
2024-10-25 22:00:59
fbcur-doc-1.0.1-r1.apk
2.17KB
2024-10-25 22:00:59
fbdebug-1.0.1-r0.apk
5.25KB
2025-12-19 23:47:33
fceux-2.6.6-r4.apk
2.87MB
2025-09-27 17:27:09
fceux-doc-2.6.6-r4.apk
104.67KB
2025-09-27 17:27:09
fcitx5-mozc-2.32.5994.102.20251109-r0.apk
15.68MB
2025-11-14 23:57:54
fcitx5-mozc-doc-2.32.5994.102.20251109-r0.apk
20.02KB
2025-11-14 23:57:54
fcitx5-mozc-lang-2.32.5994.102.20251109-r0.apk
8.46KB
2025-11-14 23:57:54
fdm-materials-5.2.2-r1.apk
59.67KB
2024-10-25 22:00:59
featherpad-1.6.2-r0.apk
729.75KB
2025-11-28 21:39:43
featherpad-lang-1.6.2-r0.apk
485.18KB
2025-11-28 21:39:43
felix-2.16.1-r0.apk
648.02KB
2025-05-16 11:59:07
femto-2.24.1-r0.apk
66.31KB
2025-09-06 19:20:15
femto-doc-2.24.1-r0.apk
49.01KB
2025-09-06 19:20:15
fff-2.2-r0.apk
10.74KB
2024-10-25 22:00:59
fff-doc-2.2-r0.apk
8.99KB
2024-10-25 22:00:59
fflas-ffpack-2.5.0-r3.apk
345.35KB
2024-10-25 22:00:59
ffmpeg4-4.4.6-r0.apk
45.91KB
2026-01-04 03:25:50
ffmpeg4-dev-4.4.6-r0.apk
280.80KB
2026-01-04 03:25:50
ffmpeg4-libavcodec-4.4.6-r0.apk
6.45MB
2026-01-04 03:25:50
ffmpeg4-libavdevice-4.4.6-r0.apk
46.94KB
2026-01-04 03:25:50
ffmpeg4-libavfilter-4.4.6-r0.apk
1.54MB
2026-01-04 03:25:50
ffmpeg4-libavformat-4.4.6-r0.apk
1.18MB
2026-01-04 03:25:50
ffmpeg4-libavutil-4.4.6-r0.apk
278.89KB
2026-01-04 03:25:50
ffmpeg4-libpostproc-4.4.6-r0.apk
61.06KB
2026-01-04 03:25:50
ffmpeg4-libswresample-4.4.6-r0.apk
48.80KB
2026-01-04 03:25:50
ffmpeg4-libswscale-4.4.6-r0.apk
174.11KB
2026-01-04 03:25:50
ffms2-5.0-r2.apk
69.63KB
2025-09-27 17:27:09
ffms2-dev-5.0-r2.apk
7.53KB
2025-09-27 17:27:09
ffms2-doc-5.0-r2.apk
30.23KB
2025-09-27 17:27:09
ffsend-0.2.76-r4.apk
1.50MB
2024-10-25 22:00:59
ffsend-bash-completion-0.2.76-r4.apk
3.61KB
2024-10-25 22:00:59
ffsend-fish-completion-0.2.76-r4.apk
3.57KB
2024-10-25 22:00:59
ffsend-zsh-completion-0.2.76-r4.apk
4.57KB
2024-10-25 22:00:59
fheroes2-1.1.13-r0.apk
1.78MB
2025-12-22 18:41:52
fheroes2-lang-1.1.13-r0.apk
1.82MB
2025-12-22 18:41:52
fildesh-0.2.0-r0.apk
66.98KB
2024-10-25 22:00:59
fildesh-doc-0.2.0-r0.apk
2.10KB
2024-10-25 22:00:59
fildesh-vim-0.2.0-r0.apk
3.55KB
2024-10-25 22:00:59
filebrowser-2.27.0-r16.apk
7.67MB
2025-12-05 00:48:35
filebrowser-openrc-2.27.0-r16.apk
1.82KB
2025-12-05 00:48:35
fileshelter-6.2.0-r4.apk
304.99KB
2025-09-28 01:22:05
fileshelter-openrc-6.2.0-r4.apk
1.70KB
2025-09-28 01:22:05
filite-0.3.0-r2.apk
1.13MB
2024-10-25 22:00:59
findtow-0.1-r0.apk
4.82KB
2024-10-25 22:00:59
finger-0.5-r0.apk
6.45KB
2024-10-25 22:00:59
finger-doc-0.5-r0.apk
3.80KB
2024-10-25 22:00:59
firectl-0.2.0-r24.apk
3.70MB
2025-12-05 00:48:35
firefox-developer-edition-147.0_beta6-r0.apk
90.08MB
2025-12-21 19:50:34
firehol-3.1.7-r2.apk
84.75KB
2024-10-25 22:01:00
firehol-doc-3.1.7-r2.apk
674.59KB
2024-10-25 22:01:00
firehol-openrc-3.1.7-r2.apk
2.06KB
2024-10-25 22:01:00
flamelens-0.3.1-r0.apk
1.39MB
2025-04-29 10:46:20
flamelens-doc-0.3.1-r0.apk
3.66KB
2025-04-29 10:46:20
flang-next-22.0.0_pre20251226-r0.apk
23.45MB
2025-12-27 12:35:27
flang-next-dev-22.0.0_pre20251226-r0.apk
1.10MB
2025-12-27 12:35:27
flang-next-static-22.0.0_pre20251226-r0.apk
48.11MB
2025-12-27 12:35:28
flann-1.9.2-r1.apk
1.66MB
2025-02-22 16:38:47
flann-dev-1.9.2-r1.apk
963.15KB
2025-02-22 16:38:47
flann-doc-1.9.2-r1.apk
2.53KB
2025-02-22 16:38:47
flannel-0.27.4-r1.apk
14.80MB
2025-12-05 00:48:35
flannel-contrib-cni-0.27.4-r1.apk
4.30KB
2025-12-05 00:48:35
flannel-openrc-0.27.4-r1.apk
1.96KB
2025-12-05 00:48:35
flare-engine-1.14-r1.apk
4.44MB
2025-11-07 19:28:22
flare-engine-doc-1.14-r1.apk
2.46KB
2025-11-07 19:28:22
flare-game-1.14-r0.apk
2.19KB
2024-10-25 22:01:01
flatseal-2.3.1-r0.apk
42.82KB
2025-06-19 18:30:17
flatseal-doc-2.3.1-r0.apk
8.46KB
2025-06-19 18:30:17
flatseal-lang-2.3.1-r0.apk
78.93KB
2025-06-19 18:30:17
flauschige-uhr-0.1-r1.apk
4.24KB
2024-10-25 22:01:01
flawz-0.3.0-r0.apk
1.19MB
2024-11-03 23:07:57
flawz-bash-completion-0.3.0-r0.apk
2.12KB
2024-11-03 23:07:57
flawz-doc-0.3.0-r0.apk
5.99KB
2024-11-03 23:07:57
flawz-fish-completion-0.3.0-r0.apk
1.91KB
2024-11-03 23:07:57
flawz-zsh-completion-0.3.0-r0.apk
2.22KB
2024-11-03 23:07:57
flightgear-2024.1.1-r0.apk
10.60MB
2025-03-05 02:17:06
flightgear-bash-completion-2024.1.1-r0.apk
5.50KB
2025-03-05 02:17:06
flightgear-dbg-2024.1.1-r0.apk
22.43MB
2025-03-05 02:17:07
flightgear-doc-2024.1.1-r0.apk
58.07KB
2025-03-05 02:17:07
flightgear-zsh-completion-2024.1.1-r0.apk
7.33KB
2025-03-05 02:17:07
flint-3.4.0-r0.apk
4.68MB
2025-11-26 10:24:44
flint-dev-3.4.0-r0.apk
315.89KB
2025-11-26 10:24:44
flowd-0.9.1-r11.apk
77.44KB
2025-06-30 11:08:57
flowd-dev-0.9.1-r11.apk
8.09KB
2025-06-30 11:08:57
flowd-doc-0.9.1-r11.apk
10.09KB
2025-06-30 11:08:57
flowd-openrc-0.9.1-r11.apk
1.89KB
2025-06-30 11:08:57
fluent-bit-4.2.0-r0.apk
7.98MB
2025-12-05 00:48:36
fluent-bit-dev-4.2.0-r0.apk
141.32KB
2025-12-05 00:48:36
fluent-bit-openrc-4.2.0-r0.apk
1.70KB
2025-12-05 00:48:36
flutter-3.38.4-r1.apk
1.27KB
2025-12-19 00:40:57
flutter-common-3.38.4-r1.apk
36.00MB
2025-12-19 00:40:57
flutter-desktop-3.38.4-r1.apk
26.93MB
2025-12-19 00:40:57
flutter-developer-3.38.4-r1.apk
2.50MB
2025-12-19 00:40:57
flutter-glfw-3.38.4-r1.apk
5.63MB
2025-12-19 00:40:57
flutter-gtk-3.38.4-r1.apk
5.67MB
2025-12-19 00:40:58
flutter-tool-3.38.4-r1.apk
16.26MB
2025-12-19 00:40:58
flutter-tool-developer-3.38.4-r1.apk
1.70KB
2025-12-19 00:40:58
fnf-0.1-r0.apk
16.10KB
2024-10-25 22:01:03
fnf-doc-0.1-r0.apk
4.60KB
2024-10-25 22:01:03
fnm-1.38.1-r0.apk
1.91MB
2024-11-25 16:28:17
fnm-bash-completion-1.38.1-r0.apk
2.78KB
2024-11-25 16:28:17
fnm-fish-completion-1.38.1-r0.apk
4.29KB
2024-11-25 16:28:17
fnm-zsh-completion-1.38.1-r0.apk
4.29KB
2024-11-25 16:28:17
foma-0.10.0_git20240712-r0.apk
331.11KB
2024-10-25 22:01:03
foma-dev-0.10.0_git20240712-r0.apk
8.48KB
2024-10-25 22:01:03
font-andika-six-6.210-r0.apk
1.47MB
2025-09-28 15:01:49
font-anonymous-pro-1.002-r2.apk
264.47KB
2024-10-25 22:01:03
font-aref-ruqaa-1.006-r0.apk
357.31KB
2025-04-14 00:31:44
font-babelstone-han-15.1.3-r0.apk
18.31MB
2024-10-25 22:01:03
font-cascadia-2407.24-r1.apk
1.27KB
2025-05-27 17:33:46
font-cascadia-code-2407.24-r1.apk
526.16KB
2025-05-27 17:33:46
font-cascadia-mono-2407.24-r1.apk
506.84KB
2025-05-27 17:33:46
font-chivo-0_git20221110-r0.apk
792.35KB
2024-10-25 22:01:03
font-chivo-mono-0_git20221110-r0.apk
625.86KB
2024-10-25 22:01:03
font-comic-neue-2.51-r0.apk
248.77KB
2024-10-25 22:01:03
font-comic-neue-doc-2.51-r0.apk
0.98MB
2024-10-25 22:01:03
font-commit-mono-1.143-r0.apk
251.28KB
2024-10-25 22:01:03
font-cousine-0_git20210228-r0.apk
109.99KB
2024-10-25 22:01:03
font-fantasque-sans-1.8.0-r0.apk
1.20KB
2024-10-25 22:01:03
font-fantasque-sans-doc-1.8.0-r0.apk
5.52KB
2024-10-25 22:01:03
font-fantasque-sans-largelineheight-1.8.0-r0.apk
315.79KB
2024-10-25 22:01:03
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
315.80KB
2024-10-25 22:01:03
font-fantasque-sans-noloopk-1.8.0-r0.apk
315.79KB
2024-10-25 22:01:03
font-fantasque-sans-normal-1.8.0-r0.apk
315.79KB
2024-10-25 22:01:03
font-fira-code-6.2-r0.apk
836.00KB
2024-10-25 22:01:03
font-fira-code-vf-6.2-r0.apk
145.06KB
2024-10-25 22:01:03
font-firamath-0.3.4-r0.apk
118.33KB
2024-10-25 22:01:04
font-fontawesome-4-4.7.0-r3.apk
205.01KB
2024-10-25 22:01:04
font-hanazono-20170904-r2.apk
28.95MB
2025-09-15 15:59:58
font-intel-one-mono-1.3.0-r0.apk
281.45KB
2024-10-25 22:01:04
font-katex-0.16.2-r0.apk
851.56KB
2024-10-25 22:01:04
font-material-icons-4.0.0-r0.apk
651.85KB
2024-10-25 22:01:04
font-monaspace-1.101-r0.apk
1.45KB
2024-10-25 22:01:04
font-monaspace-argon-1.101-r0.apk
2.21MB
2024-10-25 22:01:04
font-monaspace-krypton-1.101-r0.apk
2.07MB
2024-10-25 22:01:04
font-monaspace-neon-1.101-r0.apk
2.14MB
2024-10-25 22:01:04
font-monaspace-radon-1.101-r0.apk
2.74MB
2024-10-25 22:01:04
font-monaspace-xenon-1.101-r0.apk
2.34MB
2024-10-25 22:01:04
font-monocraft-4.0-r0.apk
676.75KB
2024-10-25 22:01:04
font-openmoji-16.0.0-r0.apk
1.37MB
2025-08-11 09:31:05
font-siji-20190218_git-r2.apk
24.50KB
2024-10-25 22:01:04
font-stix-otf-2.13-r0.apk
2.04MB
2024-10-25 22:01:04
font-stix-ttf-2.13-r0.apk
430.06KB
2024-10-25 22:01:05
font-tamzen-1.11.5-r1.apk
61.86KB
2024-10-25 22:01:05
font-terminus-ttf-4.49.3-r0.apk
537.86KB
2025-07-11 22:54:12
font-tinos-0_git20210228-r0.apk
198.68KB
2024-10-25 22:01:05
font-tiresias-0_git20200704-r0.apk
568.26KB
2024-10-25 22:01:05
font-tiresias-doc-0_git20200704-r0.apk
58.15KB
2024-10-25 22:01:05
foolsm-1.0.21-r0.apk
32.91KB
2024-10-25 22:01:05
foolsm-doc-1.0.21-r0.apk
3.85KB
2024-10-25 22:01:05
foolsm-openrc-1.0.21-r0.apk
1.55KB
2024-10-25 22:01:05
formiko-1.5.0-r1.apk
106.96KB
2026-01-07 12:30:27
formiko-doc-1.5.0-r1.apk
8.54KB
2026-01-07 12:30:27
formiko-pyc-1.5.0-r1.apk
61.49KB
2026-01-07 12:30:27
fpc-3.2.2-r4.apk
71.03MB
2024-10-25 22:01:06
fpc-doc-3.2.2-r4.apk
1.24MB
2024-10-25 22:01:06
fpc-stage0-3.2.2-r3.apk
6.28MB
2024-10-25 22:01:06
fplll-5.5.0-r0.apk
48.93KB
2024-11-17 06:04:09
fplll-dev-5.5.0-r0.apk
77.97KB
2024-11-17 06:04:09
fplll-libs-5.5.0-r0.apk
5.21MB
2024-11-17 06:04:09
fplll-static-5.5.0-r0.apk
5.87MB
2024-11-17 06:04:09
fplll-strategies-5.5.0-r0.apk
1.71MB
2024-11-17 06:04:09
fpp-0.9.5-r0.apk
29.27KB
2024-10-25 22:01:06
fpp-doc-0.9.5-r0.apk
5.59KB
2024-10-25 22:01:06
fq-0.16.0-r0.apk
4.60MB
2025-12-12 21:57:35
freealut-1.1.0-r1.apk
18.04KB
2024-10-25 22:01:07
freealut-dev-1.1.0-r1.apk
23.16KB
2024-10-25 22:01:07
freediameter-1.5.0-r1.apk
9.54KB
2024-10-25 22:01:07
freediameter-dev-1.5.0-r1.apk
53.79KB
2024-10-25 22:01:07
freediameter-extensions-1.5.0-r1.apk
391.40KB
2024-10-25 22:01:07
freediameter-libfdcore-1.5.0-r1.apk
176.49KB
2024-10-25 22:01:07
freediameter-libfdproto-1.5.0-r1.apk
93.52KB
2024-10-25 22:01:07
freetube-0.23.12-r1.apk
1.60MB
2025-11-10 23:45:36
frescobaldi-3.3.0-r1.apk
3.48MB
2024-10-25 22:01:07
frescobaldi-doc-3.3.0-r1.apk
2.48KB
2024-10-25 22:01:07
frescobaldi-pyc-3.3.0-r1.apk
1.20MB
2024-10-25 22:01:07
freshrss-1.28.0-r0.apk
1.65MB
2026-01-02 03:39:25
freshrss-doc-1.28.0-r0.apk
911.84KB
2026-01-02 03:39:25
freshrss-lang-1.28.0-r0.apk
507.41KB
2026-01-02 03:39:25
freshrss-mysql-1.28.0-r0.apk
1.27KB
2026-01-02 03:39:25
freshrss-openrc-1.28.0-r0.apk
2.49KB
2026-01-02 03:39:25
freshrss-pgsql-1.28.0-r0.apk
1.27KB
2026-01-02 03:39:25
freshrss-sqlite-1.28.0-r0.apk
1.27KB
2026-01-02 03:39:25
freshrss-themes-1.28.0-r0.apk
1.47MB
2026-01-02 03:39:25
fulcrum-1.9.8-r1.apk
936.92KB
2024-10-25 22:01:07
fulcrum-admin-1.9.8-r1.apk
7.91KB
2024-10-25 22:01:07
fulcrum-doc-1.9.8-r1.apk
21.61KB
2024-10-25 22:01:07
fungw-1.2.2-r0.apk
13.74KB
2025-09-19 21:26:29
fungw-c-1.2.2-r0.apk
8.42KB
2025-09-19 21:26:29
fungw-cli-1.2.2-r0.apk
23.82KB
2025-09-19 21:26:29
fungw-dev-1.2.2-r0.apk
7.82KB
2025-09-19 21:26:29
fungw-doc-1.2.2-r0.apk
13.18KB
2025-09-19 21:26:29
fungw-duktape-1.2.2-r0.apk
18.05KB
2025-09-19 21:26:29
fungw-fawk-1.2.2-r0.apk
117.36KB
2025-09-19 21:26:29
fungw-lua-1.2.2-r0.apk
14.63KB
2025-09-19 21:26:29
fungw-mujs-1.2.2-r0.apk
17.72KB
2025-09-19 21:26:29
fungw-perl-1.2.2-r0.apk
48.79KB
2025-09-19 21:26:29
fungw-python3-1.2.2-r0.apk
26.75KB
2025-09-19 21:26:29
fungw-tcl-1.2.2-r0.apk
13.35KB
2025-09-19 21:26:29
fusee-nano-0.5.3-r1.apk
20.88KB
2024-10-25 22:01:07
fusee-nano-udev-0.5.3-r1.apk
1.70KB
2024-10-25 22:01:07
fuseiso-20070708-r0.apk
15.23KB
2025-04-14 04:40:14
fuseiso-doc-20070708-r0.apk
2.58KB
2025-04-14 04:40:14
fusesoc-2.3-r0.apk
46.31KB
2024-10-25 22:01:07
fusesoc-pyc-2.3-r0.apk
89.26KB
2024-10-25 22:01:07
futhark-0.25.28-r0.apk
20.53MB
2025-03-12 03:07:23
fuzzylite-6.0-r2.apk
4.12KB
2025-01-31 23:53:18
fuzzylite-dev-6.0-r2.apk
68.43KB
2025-01-31 23:53:18
fuzzylite-doc-6.0-r2.apk
2.09KB
2025-01-31 23:53:18
fuzzylite-libs-6.0-r2.apk
344.58KB
2025-01-31 23:53:18
fwallet-1.2.0-r7.apk
8.22MB
2025-11-16 19:55:56
fxfloorboard-katana-mk2-20240515-r1.apk
5.54MB
2024-10-25 22:01:07
fxfloorboard-katana-mk2-doc-20240515-r1.apk
1.09MB
2024-10-25 22:01:07
fxload-2008.10.13-r0.apk
8.41KB
2025-12-07 01:09:02
fynedesk-0.4.0-r2.apk
13.18MB
2025-12-05 00:48:36
gambit-4.9.5-r1.apk
10.39MB
2025-04-07 11:30:11
gambit-dev-4.9.5-r1.apk
6.66MB
2025-04-07 11:30:11
gambit-doc-4.9.5-r1.apk
4.33KB
2025-04-07 11:30:11
game-devices-udev-0.25-r0.apk
6.90KB
2025-10-23 08:17:57
gamemode-1.8.2-r0.apk
70.56KB
2025-02-06 01:30:18
gamemode-dev-1.8.2-r0.apk
5.10KB
2025-02-06 01:30:18
gamemode-doc-1.8.2-r0.apk
7.54KB
2025-02-06 01:30:18
gammastep-2.0.9-r3.apk
88.65KB
2024-10-25 22:01:08
gammastep-doc-2.0.9-r3.apk
14.06KB
2024-10-25 22:01:08
gammastep-lang-2.0.9-r3.apk
77.54KB
2024-10-25 22:01:08
gammastep-pyc-2.0.9-r3.apk
16.88KB
2024-10-25 22:01:08
gatling-0.16-r6.apk
142.41KB
2024-10-25 22:01:08
gatling-doc-0.16-r6.apk
9.08KB
2024-10-25 22:01:08
gatling-openrc-0.16-r6.apk
2.79KB
2024-10-25 22:01:08
gaupol-1.12-r2.apk
276.17KB
2024-10-25 22:01:08
gaupol-doc-1.12-r2.apk
2.37KB
2024-10-25 22:01:08
gaupol-lang-1.12-r2.apk
276.68KB
2024-10-25 22:01:08
gaupol-pyc-1.12-r2.apk
419.24KB
2024-10-25 22:01:08
gb-0.4.4-r36.apk
7.42MB
2025-12-05 00:48:36
gcli-2.9.1-r0.apk
126.89KB
2025-11-09 18:39:52
gcli-doc-2.9.1-r0.apk
37.69KB
2025-11-09 18:39:52
gdcm-3.2.2-r3.apk
414.29KB
2025-12-02 08:13:49
gdcm-dev-3.2.2-r3.apk
449.92KB
2025-12-02 08:13:49
gdcm-doc-3.2.2-r3.apk
55.36KB
2025-12-02 08:13:49
gdcm-doc-html-3.2.2-r3.apk
8.89MB
2025-12-02 08:13:49
gdcm-doc-pdf-3.2.2-r3.apk
14.13MB
2025-12-02 08:13:49
gearman-dev-1.1.22-r0.apk
1.12MB
2025-09-06 19:20:15
gearman-libs-1.1.22-r0.apk
79.36KB
2025-09-06 19:20:15
gearmand-1.1.22-r0.apk
174.94KB
2025-09-06 19:20:15
gearmand-doc-1.1.22-r0.apk
189.02KB
2025-09-06 19:20:15
gearmand-openrc-1.1.22-r0.apk
1.81KB
2025-09-06 19:20:15
gede-2.22.1-r0.apk
403.79KB
2025-11-02 22:48:15
genact-1.4.2-r0.apk
1.35MB
2024-10-25 22:01:08
geoclue-stumbler-1.1-r0.apk
41.17KB
2025-10-04 20:03:38
geodns-3.3.0-r18.apk
5.04MB
2025-12-05 00:48:36
geodns-logs-3.3.0-r18.apk
4.60MB
2025-12-05 00:48:36
geodns-openrc-3.3.0-r18.apk
1.78KB
2025-12-05 00:48:36
geomyidae-0.34-r2.apk
15.08KB
2024-10-25 22:01:08
geomyidae-doc-0.34-r2.apk
7.65KB
2024-10-25 22:01:08
geomyidae-openrc-0.34-r2.apk
1.99KB
2024-10-25 22:01:08
geonames-0.3.1-r2.apk
826.70KB
2024-10-25 22:01:08
geonames-dev-0.3.1-r2.apk
3.02KB
2024-10-25 22:01:08
geonames-doc-0.3.1-r2.apk
12.60KB
2024-10-25 22:01:08
geonames-lang-0.3.1-r2.apk
4.58MB
2024-10-25 22:01:09
geotagging-0.7.4-r0.apk
439.75KB
2024-11-12 13:18:48
getmail6-6.19.10-r0.apk
70.39KB
2025-08-19 14:29:10
getmail6-doc-6.19.10-r0.apk
138.50KB
2025-08-19 14:29:10
getmail6-pyc-6.19.10-r0.apk
103.27KB
2025-08-19 14:29:10
getssl-2.48-r0.apk
82.19KB
2024-10-25 22:01:09
getting-things-gnome-0.6-r4.apk
714.92KB
2024-12-08 23:48:43
getting-things-gnome-doc-0.6-r4.apk
497.39KB
2024-12-08 23:48:43
getting-things-gnome-lang-0.6-r4.apk
228.71KB
2024-12-08 23:48:43
gf2x-1.3.0-r1.apk
39.92KB
2024-10-25 22:01:09
gf2x-dev-1.3.0-r1.apk
68.17KB
2024-10-25 22:01:09
gfan-0.6.2-r1.apk
1.58MB
2024-10-25 22:01:09
ghc-current-9.15_pre20251106-r0.apk
136.45MB
2025-11-16 09:29:53
ghc-current-cmds-9.15_pre20251106-r0.apk
3.46KB
2025-11-16 09:29:53
ghc-current-doc-9.15_pre20251106-r0.apk
5.99KB
2025-11-16 09:29:53
ghc-filesystem-1.5.14-r0.apk
38.61KB
2024-10-25 22:01:09
ghc-release-cabal-3.17.0.0_pre20251116-r0.apk
8.10MB
2025-11-17 12:23:08
ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk
30.88KB
2025-11-17 12:23:08
ghidra-12.0-r0.apk
410.09MB
2025-12-13 18:58:42
ghidra-doc-12.0-r0.apk
57.21MB
2025-12-13 18:58:43
ghidra-tutorials-12.0-r0.apk
3.97MB
2025-12-13 18:58:43
ghostty-1.2.3_git20251118-r1.apk
15.71MB
2025-12-15 00:09:11
ghostty-bash-completion-1.2.3_git20251118-r1.apk
6.42KB
2025-12-15 00:09:11
ghostty-doc-1.2.3_git20251118-r1.apk
2.28KB
2025-12-15 00:09:11
ghostty-fish-completion-1.2.3_git20251118-r1.apk
4.43KB
2025-12-15 00:09:11
ghostty-zsh-completion-1.2.3_git20251118-r1.apk
4.49KB
2025-12-15 00:09:11
ghq-1.8.0-r6.apk
3.91MB
2025-12-05 00:48:36
ghq-bash-completion-1.8.0-r6.apk
1.79KB
2025-12-05 00:48:36
ghq-doc-1.8.0-r6.apk
5.50KB
2025-12-05 00:48:36
ghq-fish-completion-1.8.0-r6.apk
2.55KB
2025-12-05 00:48:36
ghq-zsh-completion-1.8.0-r6.apk
2.49KB
2025-12-05 00:48:36
gimp-plugin-gmic-3.6.0-r2.apk
1.38MB
2026-01-03 18:55:55
ginger-2.4.0-r7.apk
257.07KB
2024-10-25 22:01:15
ginger-lang-2.4.0-r7.apk
125.45KB
2024-10-25 22:01:15
ginger-pyc-2.4.0-r7.apk
206.84KB
2024-10-25 22:01:15
gingerbase-2.3.0-r7.apk
195.23KB
2024-10-25 22:01:15
gingerbase-lang-2.3.0-r7.apk
52.86KB
2024-10-25 22:01:15
gingerbase-pyc-2.3.0-r7.apk
61.48KB
2024-10-25 22:01:15
git-bug-0.8.1-r6.apk
10.37MB
2025-12-05 00:48:36
git-bug-bash-completion-0.8.1-r6.apk
5.27KB
2025-12-05 00:48:36
git-bug-doc-0.8.1-r6.apk
16.86KB
2025-12-05 00:48:36
git-bug-fish-completion-0.8.1-r6.apk
4.33KB
2025-12-05 00:48:36
git-bug-zsh-completion-0.8.1-r6.apk
4.05KB
2025-12-05 00:48:36
git-extras-7.4.0-r0.apk
57.08KB
2025-07-23 01:16:03
git-extras-bash-completion-7.4.0-r0.apk
2.87KB
2025-07-23 01:16:03
git-extras-doc-7.4.0-r0.apk
65.19KB
2025-07-23 01:16:03
git-graph-0.6.0-r0.apk
860.29KB
2024-11-26 01:38:20
git-graph-doc-0.6.0-r0.apk
6.25KB
2024-11-26 01:38:20
git-quick-stats-2.8.0-r0.apk
15.24KB
2025-09-11 11:18:50
git-quick-stats-doc-2.8.0-r0.apk
3.47KB
2025-09-11 11:18:50
git-revise-0.7.0-r5.apk
24.30KB
2024-10-25 22:01:15
git-revise-doc-0.7.0-r5.apk
4.96KB
2024-10-25 22:01:15
git-revise-pyc-0.7.0-r5.apk
42.19KB
2024-10-25 22:01:15
git-secret-0.5.0-r0.apk
14.76KB
2024-10-25 22:01:15
git-secret-doc-0.5.0-r0.apk
17.07KB
2024-10-25 22:01:15
git2json-0.2.3-r8.apk
7.42KB
2024-10-25 22:01:15
git2json-pyc-0.2.3-r8.apk
5.69KB
2024-10-25 22:01:15
gitoxide-0.14.0-r1.apk
2.67MB
2024-10-25 22:01:15
gkrellm-2.3.11-r0.apk
353.08KB
2025-01-09 01:55:40
gkrellm-dev-2.3.11-r0.apk
16.50KB
2025-01-09 01:55:40
gkrellm-doc-2.3.11-r0.apk
18.53KB
2025-01-09 01:55:40
gkrellm-lang-2.3.11-r0.apk
379.12KB
2025-01-09 01:55:40
gkrellm-server-2.3.11-r0.apk
50.73KB
2025-01-09 01:55:40
gl2ps-1.4.2-r0.apk
36.72KB
2025-10-13 00:34:28
gl2ps-dev-1.4.2-r0.apk
4.35KB
2025-10-13 00:34:28
gl2ps-doc-1.4.2-r0.apk
230.22KB
2025-10-13 00:34:28
gl2ps-static-1.4.2-r0.apk
41.81KB
2025-10-13 00:34:28
glfw-wayland-3.3.8-r3.apk
61.02KB
2024-10-25 22:01:15
glfw-wayland-dbg-3.3.8-r3.apk
195.39KB
2024-10-25 22:01:15
glfw-wayland-dev-3.3.8-r3.apk
45.62KB
2024-10-25 22:01:15
gliderlabs-sigil-0.11.0-r10.apk
3.40MB
2025-12-05 00:48:36
gliderlabs-sigil-doc-0.11.0-r10.apk
2.42KB
2025-12-05 00:48:36
glmark2-2023.01-r1.apk
7.93MB
2024-10-25 22:01:15
glmark2-doc-2023.01-r1.apk
12.81KB
2024-10-25 22:01:15
gloox-1.0.28-r0.apk
364.22KB
2024-10-25 22:01:15
gloox-dev-1.0.28-r0.apk
877.63KB
2024-10-25 22:01:16
glow-2.1.1-r5.apk
6.22MB
2025-12-05 00:48:36
glow-bash-completion-2.1.1-r5.apk
6.10KB
2025-12-05 00:48:36
glow-doc-2.1.1-r5.apk
3.20KB
2025-12-05 00:48:36
glow-fish-completion-2.1.1-r5.apk
4.32KB
2025-12-05 00:48:36
glow-zsh-completion-2.1.1-r5.apk
4.04KB
2025-12-05 00:48:36
glslviewer-3.2.4-r2.apk
1.77MB
2025-08-28 23:04:15
gmcapsule-0.9.8-r0.apk
36.18KB
2025-10-07 12:39:54
gmcapsule-openrc-0.9.8-r0.apk
1.98KB
2025-10-07 12:39:54
gmcapsule-pyc-0.9.8-r0.apk
60.78KB
2025-10-07 12:39:54
gmenuharness-0.1.4-r2.apk
36.90KB
2025-02-22 16:38:49
gmenuharness-dev-0.1.4-r2.apk
4.07KB
2025-02-22 16:38:49
gmic-3.6.0-r2.apk
12.33MB
2026-01-03 18:55:55
gmic-bash-completion-3.6.0-r2.apk
28.68KB
2026-01-03 18:55:55
gmic-dev-3.6.0-r2.apk
7.62KB
2026-01-03 18:55:55
gmic-doc-3.6.0-r2.apk
222.87KB
2026-01-03 18:55:55
gmic-libs-3.6.0-r2.apk
3.20MB
2026-01-03 18:55:55
gmic-qt-3.6.0-r2.apk
1.70MB
2026-01-03 18:55:55
gmid-2.1.1-r1.apk
220.12KB
2026-01-12 15:47:33
gmid-doc-2.1.1-r1.apk
14.50KB
2026-01-12 15:47:33
gmid-openrc-2.1.1-r1.apk
2.26KB
2026-01-12 15:47:33
gmsh-4.15.0-r0.apk
8.93MB
2025-11-01 02:21:01
gmsh-dbg-4.15.0-r0.apk
150.95MB
2025-11-01 02:21:02
gmsh-doc-4.15.0-r0.apk
1.94MB
2025-11-01 02:21:02
gmsh-py-4.15.0-r0.apk
6.74KB
2025-11-01 02:21:02
gnome-common-3.18.0-r3.apk
11.53KB
2024-10-25 22:01:19
gnome-latex-3.49.0-r0.apk
363.12KB
2026-01-07 02:06:07
gnome-latex-doc-3.49.0-r0.apk
109.99KB
2026-01-07 02:06:07
gnome-latex-lang-3.49.0-r0.apk
530.35KB
2026-01-07 02:06:07
gnome-mahjongg-49.0.1-r0.apk
2.38MB
2025-10-19 00:03:06
gnome-mahjongg-doc-49.0.1-r0.apk
2.20KB
2025-10-19 00:03:06
gnome-mahjongg-lang-49.0.1-r0.apk
170.32KB
2025-10-19 00:03:06
gnome-metronome-1.3.0-r0.apk
457.87KB
2024-10-25 22:01:19
gnome-metronome-lang-1.3.0-r0.apk
24.68KB
2024-10-25 22:01:19
gnome-mimeapps-0.1-r1.apk
3.69KB
2025-08-09 19:27:59
gnu-apl-1.9-r0.apk
1.21MB
2024-10-25 22:01:19
gnu-apl-dev-1.9-r0.apk
588.72KB
2024-10-25 22:01:19
gnu-apl-doc-1.9-r0.apk
1.56MB
2024-10-25 22:01:19
gnucobol-3.2-r0.apk
794.33KB
2025-07-28 17:02:23
gnucobol-doc-3.2-r0.apk
70.80KB
2025-07-28 17:02:23
gnucobol-lang-3.2-r0.apk
316.03KB
2025-07-28 17:02:23
go-away-0.7.0-r1.apk
8.72MB
2025-09-06 19:20:23
go-away-openrc-0.7.0-r1.apk
2.26KB
2025-09-06 19:20:23
go-jsonnet-0.21.0-r5.apk
6.93MB
2025-12-05 00:48:37
go-mtpfs-1.0.0-r33.apk
1.24MB
2026-01-02 22:04:19
go-passbolt-cli-0.3.2-r8.apk
6.37MB
2025-12-05 00:48:37
go-tools-0.40.0-r0.apk
47.41MB
2025-12-12 17:18:33
gobang-0.1.0_alpha5-r1.apk
1.89MB
2024-10-25 22:01:19
gobuster-3.8.0-r3.apk
3.80MB
2025-12-05 00:48:37
godini-1.0.0-r5.apk
1.55MB
2025-12-05 00:48:37
godini-doc-1.0.0-r5.apk
14.78KB
2025-12-05 00:48:37
godot-4.5.1-r0.apk
63.29MB
2025-12-18 21:45:50
godot-doc-4.5.1-r0.apk
4.55KB
2025-12-18 21:45:50
godot-templates-4.5.1-r0.apk
45.71MB
2025-12-18 21:45:51
goguma-0.8.0-r4.apk
4.54MB
2025-11-16 19:55:56
gomp-1.0.0-r17.apk
3.76MB
2025-12-05 00:48:38
goomwwm-1.0.0-r5.apk
46.45KB
2024-10-25 22:01:21
goreman-0.3.15-r18.apk
2.58MB
2025-12-05 00:48:38
goshs-1.1.3-r0.apk
6.51MB
2025-12-18 23:15:22
goshs-doc-1.1.3-r0.apk
2.26KB
2025-12-18 23:15:22
gotify-2.7.2-r2.apk
11.08MB
2025-12-05 00:48:38
gotify-cli-2.3.2-r10.apk
4.46MB
2025-12-05 00:48:38
gotify-openrc-2.7.2-r2.apk
2.00KB
2025-12-05 00:48:38
goxel-0.15.1-r0.apk
1.74MB
2024-10-25 22:01:21
gperftools-2.17-r0.apk
22.96KB
2025-08-05 16:00:44
gperftools-dev-2.17-r0.apk
681.60KB
2025-08-05 16:00:44
gperftools-doc-2.17-r0.apk
246.90KB
2025-08-05 16:00:44
gprbuild-25.0.0-r0.apk
12.56MB
2025-10-29 04:54:39
gpsbabel-1.10.0-r0.apk
1.45MB
2025-11-07 19:28:23
gpsbabel-lang-1.10.0-r0.apk
88.01KB
2025-11-07 19:28:23
gpscorrelate-2.3-r0.apk
50.58KB
2025-03-27 08:36:13
gpscorrelate-cli-2.3-r0.apk
26.14KB
2025-03-27 08:36:13
gpscorrelate-doc-2.3-r0.apk
284.96KB
2025-03-27 08:36:13
gpscorrelate-lang-2.3-r0.apk
17.39KB
2025-03-27 08:36:13
gr-satellites-5.5.0-r6.apk
499.11KB
2025-10-12 15:09:55
gr-satellites-dev-5.5.0-r6.apk
12.72KB
2025-10-12 15:09:55
gr-satellites-doc-5.5.0-r6.apk
4.51KB
2025-10-12 15:09:55
gradia-1.11.1-r0.apk
786.08KB
2026-01-07 02:06:07
gradia-dev-1.11.1-r0.apk
2.53KB
2026-01-07 02:06:07
gradia-lang-1.11.1-r0.apk
64.17KB
2026-01-07 02:06:07
grafana-image-renderer-4.0.17-r0.apk
61.73MB
2025-10-10 20:54:03
grafana-image-renderer-openrc-4.0.17-r0.apk
1.98KB
2025-10-10 20:54:03
grcov-0.8.20-r0.apk
1.86MB
2024-11-11 12:06:18
greetd-mini-wl-greeter-0_git20230821-r0.apk
18.73KB
2024-10-25 22:01:23
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2.20KB
2024-10-25 22:01:23
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
3.28KB
2024-10-25 22:01:23
grip-4.2.4-r0.apk
381.34KB
2024-10-25 22:01:23
grip-doc-4.2.4-r0.apk
6.18KB
2024-10-25 22:01:23
grip-lang-4.2.4-r0.apk
144.42KB
2024-10-25 22:01:23
grpc-health-check-0.1.1-r3.apk
1.00MB
2024-10-25 22:01:25
grpc-java-1.78.0-r0.apk
76.14KB
2026-01-03 13:25:13
grpcui-1.5.1-r4.apk
8.96MB
2025-12-05 00:48:38
grpcurl-1.9.3-r8.apk
8.53MB
2025-12-05 00:48:38
gsettings-qt-1.1.0-r0.apk
27.92KB
2025-12-09 13:10:55
gsettings-qt-dev-1.1.0-r0.apk
3.55KB
2025-12-09 13:10:55
gsimplecal-2.5.2-r0.apk
15.49KB
2025-10-16 21:48:11
gsimplecal-doc-2.5.2-r0.apk
5.79KB
2025-10-16 21:48:11
gssdp-1.6.4-r1.apk
44.85KB
2025-08-09 19:27:59
gssdp-dev-1.6.4-r1.apk
15.53KB
2025-08-09 19:27:59
gst-audio-thumbnailer-1.0_alpha1-r2.apk
268.14KB
2025-12-20 21:24:26
gst-thumbnailers-1.0_alpha1-r2.apk
1.27KB
2025-12-20 21:24:26
gst-video-thumbnailer-1.0_alpha1-r2.apk
282.20KB
2025-12-20 21:24:26
gstreamermm-1.10.0-r6.apk
463.74KB
2025-02-22 16:38:51
gstreamermm-dev-1.10.0-r6.apk
309.74KB
2025-02-22 16:38:51
gtimelog-0.12_git20251114-r0.apk
219.25KB
2025-11-18 22:53:55
gtimelog-pyc-0.12_git20251114-r0.apk
102.32KB
2025-11-18 22:53:55
gtk-session-lock-0.2.0-r0.apk
34.61KB
2025-01-31 18:16:54
gtk-session-lock-dev-0.2.0-r0.apk
5.28KB
2025-01-31 18:16:54
gtkhash-1.5-r0.apk
87.42KB
2024-10-25 22:01:25
gtkhash-lang-1.5-r0.apk
46.63KB
2024-10-25 22:01:25
gtklock-4.0.0-r0.apk
18.10KB
2025-01-31 18:16:54
gtklock-doc-4.0.0-r0.apk
2.97KB
2025-01-31 18:16:54
gtkwave-3.3.120-r0.apk
2.53MB
2024-10-25 22:01:25
gtkwave-doc-3.3.120-r0.apk
27.12KB
2024-10-25 22:01:25
gtranslator-49.0-r0.apk
139.32KB
2025-09-15 10:46:23
gtranslator-doc-49.0-r0.apk
637.52KB
2025-09-15 10:46:23
gtranslator-lang-49.0-r0.apk
593.01KB
2025-09-15 10:46:23
gtypist-2.10.1-r0.apk
634.76KB
2025-10-12 23:59:55
gtypist-doc-2.10.1-r0.apk
103.88KB
2025-10-12 23:59:55
gtypist-lang-2.10.1-r0.apk
26.13KB
2025-10-12 23:59:55
guake-3.10.1-r0.apk
304.46KB
2025-11-24 20:07:56
guake-lang-3.10.1-r0.apk
194.27KB
2025-11-24 20:07:56
guake-pyc-3.10.1-r0.apk
186.16KB
2025-11-24 20:07:56
guestfs-tools-1.56.1-r0.apk
275.03KB
2025-07-23 01:16:03
guetzli-0_git20191025-r2.apk
160.17KB
2025-05-25 10:49:47
guetzli-dev-0_git20191025-r2.apk
2.40MB
2025-05-25 10:49:47
gufw-24.04-r3.apk
596.47KB
2024-11-19 23:49:21
gufw-doc-24.04-r3.apk
4.48KB
2024-11-19 23:49:21
gufw-lang-24.04-r3.apk
854.59KB
2024-11-19 23:49:21
gufw-pyc-24.04-r3.apk
64.57KB
2024-11-19 23:49:21
guish-2.6.11-r0.apk
99.25KB
2024-12-24 12:42:36
guish-doc-2.6.11-r0.apk
61.29KB
2024-12-24 12:42:36
gummiboot-48.1-r11.apk
45.14KB
2025-07-21 00:07:12
gummiboot-doc-48.1-r11.apk
2.93KB
2025-07-21 00:07:12
gummiboot-efistub-48.1-r11.apk
21.72KB
2025-07-21 00:07:12
gupnp-1.6.9-r1.apk
88.31KB
2025-08-09 19:27:59
gupnp-av-0.14.4-r1.apk
78.67KB
2025-08-09 19:27:59
gupnp-av-dev-0.14.4-r1.apk
41.58KB
2025-08-09 19:27:59
gupnp-dev-1.6.9-r1.apk
50.40KB
2025-08-09 19:27:59
gupnp-dlna-0.12.0-r1.apk
64.56KB
2025-08-09 19:27:59
gupnp-dlna-dev-0.12.0-r1.apk
24.35KB
2025-08-09 19:27:59
gupnp-doc-1.6.9-r1.apk
3.80KB
2025-08-09 19:27:59
gx-0.14.3-r35.apk
4.86MB
2025-12-05 00:48:38
gx-doc-0.14.3-r35.apk
2.27KB
2025-12-05 00:48:38
gx-go-1.9.0-r37.apk
5.12MB
2025-12-05 00:48:38
gx-go-doc-1.9.0-r37.apk
2.27KB
2025-12-05 00:48:38
gyosu-0.2.0-r3.apk
1.98MB
2025-12-05 00:48:38
h4h5tools-2.2.5-r4.apk
108.40KB
2024-10-25 22:01:27
h4h5tools-dev-2.2.5-r4.apk
8.76KB
2024-10-25 22:01:27
h4h5tools-doc-2.2.5-r4.apk
2.73KB
2024-10-25 22:01:27
h4h5tools-static-2.2.5-r4.apk
107.02KB
2024-10-25 22:01:27
habitctl-0.1.0-r2.apk
323.80KB
2024-10-25 22:01:27
halp-0.2.0-r0.apk
1.07MB
2024-10-25 22:01:27
halp-bash-completion-0.2.0-r0.apk
2.18KB
2024-10-25 22:01:27
halp-doc-0.2.0-r0.apk
6.88KB
2024-10-25 22:01:27
halp-fish-completion-0.2.0-r0.apk
1.96KB
2024-10-25 22:01:27
halp-zsh-completion-0.2.0-r0.apk
2.41KB
2024-10-25 22:01:27
hamster-time-tracker-3.0.3-r2.apk
155.83KB
2024-10-25 22:01:27
hamster-time-tracker-bash-completion-3.0.3-r2.apk
1.97KB
2024-10-25 22:01:27
hamster-time-tracker-doc-3.0.3-r2.apk
116.20KB
2024-10-25 22:01:27
hamster-time-tracker-lang-3.0.3-r2.apk
205.70KB
2024-10-25 22:01:27
hamster-time-tracker-pyc-3.0.3-r2.apk
358.13KB
2024-10-25 22:01:27
handlebars-1.0.0-r1.apk
105.69KB
2024-10-25 22:01:27
handlebars-dev-1.0.0-r1.apk
32.39KB
2024-10-25 22:01:27
handlebars-utils-1.0.0-r1.apk
10.16KB
2024-10-25 22:01:27
haproxy-dataplaneapi2-2.9.18-r2.apk
10.87MB
2025-12-05 00:48:39
haproxy-dataplaneapi2-openrc-2.9.18-r2.apk
2.13KB
2025-12-05 00:48:39
hardened-malloc-13-r0.apk
33.97KB
2024-10-25 22:01:27
hardinfo2-2.2.13-r0.apk
3.28MB
2025-09-28 15:01:49
hardinfo2-doc-2.2.13-r0.apk
3.00KB
2025-09-28 15:01:49
hardinfo2-lang-2.2.13-r0.apk
291.74KB
2025-09-28 15:01:49
hardinfo2-openrc-2.2.13-r0.apk
1.95KB
2025-09-28 15:01:49
hare-adwaita-0.1.0-r0.apk
89.59KB
2025-08-25 20:31:00
hare-gi-0.1.0-r0.apk
1.55MB
2025-08-25 20:31:00
hare-gtk4-layer-shell-0.1.0-r0.apk
3.79KB
2025-08-25 20:31:00
hare-http-0.25.2.0-r1.apk
20.69KB
2025-08-09 19:27:59
hare-irc-0.25.2.0-r0.apk
10.67KB
2025-06-25 10:24:51
hare-lsp-0.1.0-r0.apk
535.10KB
2025-12-27 19:59:35
hare-madeline-0.1_git20240505-r1.apk
24.57KB
2024-11-29 02:08:28
hare-madeline-doc-0.1_git20240505-r1.apk
2.16KB
2024-11-29 02:08:28
hare-scfg-0.25.2-r0.apk
4.68KB
2025-09-22 18:28:07
haredo-1.0.5-r1.apk
121.61KB
2024-11-29 02:08:28
haredo-doc-1.0.5-r1.apk
4.66KB
2024-11-29 02:08:28
harminv-1.4.2-r1.apk
7.56KB
2024-10-25 22:01:27
harminv-dev-1.4.2-r1.apk
3.14KB
2024-10-25 22:01:27
harminv-doc-1.4.2-r1.apk
5.66KB
2024-10-25 22:01:27
harminv-libs-1.4.2-r1.apk
14.11KB
2024-10-25 22:01:27
hashcat-6.2.6-r0.apk
61.34MB
2024-10-25 22:01:28
hashcat-doc-6.2.6-r0.apk
2.15MB
2024-10-25 22:01:28
haskell-language-server-2.9.0.0-r0.apk
67.93MB
2024-10-25 22:01:29
hatch-1.16.1-r0.apk
114.76KB
2025-11-30 22:01:53
hatch-pyc-1.16.1-r0.apk
246.46KB
2025-11-30 22:01:53
hatop-0.8.2-r0.apk
17.96KB
2024-10-25 22:01:29
hatop-doc-0.8.2-r0.apk
2.99KB
2024-10-25 22:01:29
haxe-4.3.3-r2.apk
10.07MB
2025-12-30 22:50:11
haxe-doc-4.3.3-r2.apk
7.81KB
2025-12-30 22:50:11
hctl-0.2.7-r0.apk
1.29MB
2025-05-14 03:10:32
hddfancontrol-1.6.2-r0.apk
32.83KB
2024-10-25 22:01:29
hddfancontrol-openrc-1.6.2-r0.apk
2.19KB
2024-10-25 22:01:29
hddfancontrol-pyc-1.6.2-r0.apk
34.03KB
2024-10-25 22:01:29
hdf4-4.2.15-r2.apk
254.30KB
2024-10-25 22:01:29
hdf4-dev-4.2.15-r2.apk
100.88KB
2024-10-25 22:01:29
hdf4-doc-4.2.15-r2.apk
6.01KB
2024-10-25 22:01:29
hdf4-tools-4.2.15-r2.apk
186.31KB
2024-10-25 22:01:29
heh-0.6.2-r0.apk
472.99KB
2025-12-12 17:04:13
heh-doc-0.6.2-r0.apk
4.07KB
2025-12-12 17:04:13
heisenbridge-1.15.4-r0.apk
67.22KB
2025-10-06 08:07:29
heisenbridge-pyc-1.15.4-r0.apk
154.96KB
2025-10-06 08:07:29
helm-diff-3.13.1-r1.apk
22.30MB
2025-12-05 00:48:39
helm-ls-0.5.4-r1.apk
19.12MB
2025-12-05 00:48:39
helm-ls-doc-0.5.4-r1.apk
2.25KB
2025-12-05 00:48:39
helm-mapkubeapis-0.6.1-r1.apk
21.78MB
2025-12-05 00:48:40
helm-unittest-1.0.3-r1.apk
12.59MB
2025-12-05 00:48:40
helmfile-1.1.8-r1.apk
60.90MB
2025-12-05 00:48:41
helmfile-bash-completion-1.1.8-r1.apk
6.11KB
2025-12-05 00:48:41
helmfile-doc-1.1.8-r1.apk
2.25KB
2025-12-05 00:48:41
helmfile-fish-completion-1.1.8-r1.apk
4.33KB
2025-12-05 00:48:41
helmfile-zsh-completion-1.1.8-r1.apk
4.04KB
2025-12-05 00:48:41
helvum-0.5.1-r0.apk
312.54KB
2024-10-25 22:01:30
herbe-1.0.0-r0.apk
5.81KB
2024-10-25 22:01:30
hex-0.6.0-r0.apk
292.52KB
2024-10-25 22:01:30
hexedit-1.6_git20230905-r0.apk
16.39KB
2024-10-25 22:01:30
hexedit-doc-1.6_git20230905-r0.apk
5.59KB
2024-10-25 22:01:30
hfst-3.16.2-r0.apk
1.40MB
2025-04-03 03:11:56
hfst-dev-3.16.2-r0.apk
208.99KB
2025-04-03 03:11:56
hfst-doc-3.16.2-r0.apk
69.66KB
2025-04-03 03:11:56
hfst-libs-3.16.2-r0.apk
1.91MB
2025-04-03 03:11:57
hiawatha-11.6-r1.apk
180.98KB
2025-05-27 14:07:22
hiawatha-doc-11.6-r1.apk
21.02KB
2025-05-27 14:07:22
hiawatha-letsencrypt-11.6-r1.apk
17.24KB
2025-05-27 14:07:22
hiawatha-openrc-11.6-r1.apk
1.69KB
2025-05-27 14:07:22
hidrd-0.2.0_git20190603-r1.apk
74.44KB
2024-10-25 22:01:30
hidrd-dev-0.2.0_git20190603-r1.apk
126.32KB
2024-10-25 22:01:30
highctidh-1.0.2024092800-r0.apk
301.90KB
2024-11-25 22:24:35
highctidh-dev-1.0.2024092800-r0.apk
325.57KB
2024-11-25 22:24:35
highfive-2.10.1-r0.apk
75.48KB
2025-01-15 04:50:41
hilbish-2.3.4-r10.apk
3.66MB
2025-12-05 00:48:41
hilbish-doc-2.3.4-r10.apk
24.93KB
2025-12-05 00:48:41
himitsu-firefox-0.6-r1.apk
168.12KB
2024-11-29 02:08:28
himitsu-git-0.9.0-r0.apk
97.42KB
2025-08-20 19:37:44
himitsu-keyring-0.2.0-r0.apk
13.35KB
2024-10-25 22:01:30
himitsu-secret-service-0.1_git20250705-r1.apk
15.79KB
2025-10-20 16:50:45
himitsu-secret-service-doc-0.1_git20250705-r1.apk
3.99KB
2025-10-20 16:50:45
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
28.60KB
2025-10-20 16:50:45
himitsu-totp-0.9-r0.apk
125.04KB
2025-09-06 19:20:27
himitsu-totp-doc-0.9-r0.apk
2.37KB
2025-09-06 19:20:27
hiprompt-gtk-0.9-r0.apk
254.41KB
2025-08-25 20:31:00
hiprompt-gtk-py-0.8.0-r1.apk
8.04KB
2025-09-17 13:03:05
hitide-0.15.0-r0.apk
1.86MB
2024-10-25 22:01:30
hitide-openrc-0.15.0-r0.apk
2.14KB
2024-10-25 22:01:30
homebank-5.9.5-r0.apk
2.04MB
2025-10-14 17:54:07
homebank-lang-5.9.5-r0.apk
942.45KB
2025-10-14 17:54:07
honeybee-0.2.0-r1.apk
1.60MB
2025-07-09 02:26:39
honeybee-doc-0.2.0-r1.apk
3.41KB
2025-07-09 02:26:39
horizon-0.9.6-r9.apk
198.66KB
2024-10-25 22:01:30
horizon-dbg-0.9.6-r9.apk
4.00MB
2024-10-25 22:01:30
horizon-dev-0.9.6-r9.apk
4.88KB
2024-10-25 22:01:30
horizon-doc-0.9.6-r9.apk
21.45KB
2024-10-25 22:01:30
horizon-image-0.9.6-r9.apk
65.56KB
2024-10-25 22:01:30
horizon-tools-0.9.6-r9.apk
79.21KB
2024-10-25 22:01:30
hping3-20051105-r4.apk
72.30KB
2024-10-25 22:01:31
hping3-doc-20051105-r4.apk
16.88KB
2024-10-25 22:01:31
hpnssh-18.8.0-r0.apk
2.83MB
2025-11-28 21:38:32
hpnssh-doc-18.8.0-r0.apk
101.49KB
2025-11-28 21:38:32
hsetroot-1.0.5-r1.apk
10.62KB
2024-10-25 22:01:31
hstdb-2.1.0-r2.apk
877.86KB
2024-10-25 22:01:31
htmlcxx-0.87-r1.apk
62.55KB
2024-10-25 22:01:31
htmlcxx-dev-0.87-r1.apk
20.62KB
2024-10-25 22:01:31
httpie-oauth-1.0.2-r9.apk
3.36KB
2024-10-25 22:01:31
httpie-oauth-pyc-1.0.2-r9.apk
2.31KB
2024-10-25 22:01:31
httplz-2.2.0-r0.apk
1.28MB
2025-05-18 18:38:51
httplz-doc-2.2.0-r0.apk
2.25KB
2025-05-18 18:38:51
httpx-1.7.4-r0.apk
17.68MB
2025-12-10 01:37:57
httpx-doc-1.7.4-r0.apk
2.28KB
2025-12-10 01:37:57
httrack-3.49.2-r5.apk
741.81KB
2024-10-25 22:01:31
httrack-doc-3.49.2-r5.apk
527.96KB
2024-10-25 22:01:31
hub-2.14.2-r36.apk
3.01MB
2025-12-05 00:48:41
hub-bash-completion-2.14.2-r36.apk
4.59KB
2025-12-05 00:48:41
hub-doc-2.14.2-r36.apk
41.98KB
2025-12-05 00:48:41
hub-fish-completion-2.14.2-r36.apk
3.30KB
2025-12-05 00:48:41
hub-zsh-completion-2.14.2-r36.apk
3.71KB
2025-12-05 00:48:41
hubble-cli-0.13.6-r10.apk
18.47MB
2025-12-05 00:48:41
hubble-cli-bash-completion-0.13.6-r10.apk
5.07KB
2025-12-05 00:48:41
hubble-cli-fish-completion-0.13.6-r10.apk
4.33KB
2025-12-05 00:48:41
hubble-cli-zsh-completion-0.13.6-r10.apk
4.05KB
2025-12-05 00:48:41
hunspell-ca-es-3.0.7-r0.apk
731.25KB
2024-10-25 22:01:31
hurl-7.1.0-r0.apk
2.39MB
2025-12-05 20:41:26
hurl-bash-completion-7.1.0-r0.apk
2.32KB
2025-12-05 20:41:26
hurl-doc-7.1.0-r0.apk
9.15KB
2025-12-05 20:41:26
hurl-fish-completion-7.1.0-r0.apk
3.63KB
2025-12-05 20:41:26
hurl-zsh-completion-7.1.0-r0.apk
4.11KB
2025-12-05 20:41:26
hw-probe-1.6.6-r2.apk
123.82KB
2025-06-30 11:08:57
hwatch-0.3.11-r0.apk
0.98MB
2024-10-25 22:01:31
hwatch-doc-0.3.11-r0.apk
3.04KB
2024-10-25 22:01:31
hwatch-fish-completion-0.3.11-r0.apk
1.82KB
2024-10-25 22:01:31
hwatch-zsh-completion-0.3.11-r0.apk
1.93KB
2024-10-25 22:01:31
hx-1.0.15-r0.apk
14.46KB
2024-10-25 22:01:31
hx-doc-1.0.15-r0.apk
4.79KB
2024-10-25 22:01:31
hyfetch-2.0.5-r0.apk
873.54KB
2025-11-21 19:34:03
hyfetch-bash-completion-2.0.5-r0.apk
3.33KB
2025-11-21 19:34:03
hyfetch-doc-2.0.5-r0.apk
19.61KB
2025-11-21 19:34:03
hyfetch-zsh-completion-2.0.5-r0.apk
2.57KB
2025-11-21 19:34:03
hyperrogue-13.1i-r0.apk
83.74MB
2025-12-19 05:52:25
hyperrogue-doc-13.1i-r0.apk
7.98KB
2025-12-19 05:52:25
hypnotix-3.5-r0.apk
110.05KB
2024-10-25 22:01:31
hypnotix-lang-3.5-r0.apk
72.41KB
2024-10-25 22:01:31
hypridle-0.1.7-r1.apk
137.72KB
2025-12-14 13:02:46
hypridle-doc-0.1.7-r1.apk
2.39KB
2025-12-14 13:02:46
hypridle-openrc-0.1.7-r1.apk
1.70KB
2025-12-14 13:02:46
hyprlock-0.9.2-r0.apk
452.08KB
2025-12-14 13:02:46
hyprpicker-0.4.5-r1.apk
117.72KB
2025-12-14 13:02:46
hyprpicker-doc-0.4.5-r1.apk
3.82KB
2025-12-14 13:02:46
hyprsunset-0.3.3-r1.apk
137.23KB
2025-12-14 13:02:46
hyprsunset-doc-0.3.3-r1.apk
2.43KB
2025-12-14 13:02:46
hyprsunset-openrc-0.3.3-r1.apk
1.76KB
2025-12-14 13:02:46
hyx-2024.02.29-r0.apk
16.86KB
2024-10-25 22:01:31
hyx-doc-2024.02.29-r0.apk
2.24KB
2024-10-25 22:01:31
i2util-4.2.1-r1.apk
20.44KB
2024-10-25 22:01:31
i2util-dev-4.2.1-r1.apk
44.49KB
2024-10-25 22:01:31
i2util-doc-4.2.1-r1.apk
4.71KB
2024-10-25 22:01:31
i3bar-river-1.1.0-r1.apk
511.03KB
2025-10-30 00:51:46
i3bar-river-openrc-1.1.0-r1.apk
1.71KB
2025-10-30 00:51:46
i3bar-river-systemd-1.1.0-r1.apk
1.88KB
2025-10-30 00:51:46
i3status-rust-0.34.0-r0.apk
4.43MB
2025-07-19 18:30:01
i3status-rust-doc-0.34.0-r0.apk
33.13KB
2025-07-19 18:30:01
ibus-typing-booster-2.29.0-r0.apk
12.67MB
2025-12-16 17:10:58
ibus-typing-booster-lang-2.29.0-r0.apk
316.88KB
2025-12-16 17:10:58
ibus-typing-booster-pyc-2.29.0-r0.apk
1.46MB
2025-12-16 17:10:58
icesprog-0_git20240108-r1.apk
8.78KB
2024-10-25 22:01:31
icesprog-udev-0_git20240108-r1.apk
1.89KB
2024-10-25 22:01:31
icestorm-0_git20240517-r0.apk
16.52MB
2024-10-25 22:01:32
icingaweb2-module-businessprocess-2.5.2-r0.apk
110.02KB
2025-08-13 18:54:46
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2.04MB
2025-08-13 18:54:46
icingaweb2-module-fileshipper-1.2.0-r3.apk
10.82KB
2024-10-25 22:01:32
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
230.85KB
2024-10-25 22:01:32
icingaweb2-module-generictts-2.1.0-r0.apk
6.60KB
2024-10-25 22:01:32
icingaweb2-module-generictts-doc-2.1.0-r0.apk
1.82KB
2024-10-25 22:01:32
icingaweb2-module-pnp-1.1.0-r1.apk
9.03KB
2024-10-25 22:01:32
icingaweb2-module-pnp-doc-1.1.0-r1.apk
1.57KB
2024-10-25 22:01:32
identities-0.2.3-r0.apk
18.55KB
2026-01-03 18:56:15
identme-0.6.0-r0.apk
46.29KB
2025-04-03 15:33:51
idesk-1-r1.apk
69.84KB
2024-10-25 22:01:32
ideviceinstaller-1.1.1-r4.apk
13.58KB
2024-10-31 00:44:48
ideviceinstaller-doc-1.1.1-r4.apk
2.45KB
2024-10-31 00:44:48
idevicerestore-1.0.0_git20250914-r0.apk
99.35KB
2025-10-06 20:37:10
idevicerestore-doc-1.0.0_git20250914-r0.apk
3.42KB
2025-10-06 20:37:10
ifuse-1.1.4-r5.apk
9.56KB
2024-10-31 00:44:48
ifuse-doc-1.1.4-r5.apk
2.34KB
2024-10-31 00:44:48
igrep-1.2.0-r0.apk
1.60MB
2024-10-25 22:01:32
igrep-doc-1.2.0-r0.apk
4.16KB
2024-10-25 22:01:32
igt-gpu-tools-2.3-r0.apk
5.16MB
2025-12-19 09:45:22
igt-gpu-tools-dev-2.3-r0.apk
16.66KB
2025-12-19 09:45:22
ijq-1.2.0-r2.apk
1.50MB
2025-12-05 00:48:41
ijq-doc-1.2.0-r2.apk
3.59KB
2025-12-05 00:48:41
imapfilter-2.8.2-r0.apk
40.83KB
2024-10-25 22:01:32
imapfilter-doc-2.8.2-r0.apk
12.83KB
2024-10-25 22:01:32
imapgoose-0.4.1-r1.apk
2.59MB
2025-12-05 00:48:41
imapgoose-doc-0.4.1-r1.apk
5.69KB
2025-12-05 00:48:41
imapgoose-openrc-0.4.1-r1.apk
1.73KB
2025-12-05 00:48:41
imapgoose-systemd-0.4.1-r1.apk
1.78KB
2025-12-05 00:48:41
imediff-2.6-r1.apk
41.58KB
2024-10-25 22:01:32
imediff-doc-2.6-r1.apk
6.53KB
2024-10-25 22:01:32
imediff-pyc-2.6-r1.apk
43.66KB
2024-10-25 22:01:32
imgdiff-1.0.2-r31.apk
1.05MB
2025-12-05 00:48:41
imgdiff-doc-1.0.2-r31.apk
2.26KB
2025-12-05 00:48:41
imrsh-0_git20210320-r1.apk
8.77KB
2024-10-25 22:01:32
imrsh-dbg-0_git20210320-r1.apk
19.34KB
2024-10-25 22:01:32
incus-ui-canonical-0.18.0-r0.apk
4.65MB
2025-08-22 15:18:17
infnoise-0.3.3-r0.apk
14.26KB
2025-05-26 11:58:45
infnoise-doc-0.3.3-r0.apk
4.15KB
2025-05-26 11:58:45
infnoise-openrc-0.3.3-r0.apk
1.73KB
2025-05-26 11:58:45
initify-0_git20171210-r1.apk
3.28KB
2024-10-25 22:01:32
inlyne-0.5.0-r0.apk
6.74MB
2025-12-22 04:54:55
inlyne-bash-completion-0.5.0-r0.apk
2.37KB
2025-12-22 04:54:55
inlyne-doc-0.5.0-r0.apk
2.27KB
2025-12-22 04:54:55
inlyne-fish-completion-0.5.0-r0.apk
2.49KB
2025-12-22 04:54:55
inlyne-zsh-completion-0.5.0-r0.apk
2.71KB
2025-12-22 04:54:55
innernet-1.6.1-r0.apk
2.70MB
2024-10-25 22:01:32
innernet-bash-completion-1.6.1-r0.apk
3.83KB
2024-10-25 22:01:32
innernet-doc-1.6.1-r0.apk
9.04KB
2024-10-25 22:01:32
innernet-fish-completion-1.6.1-r0.apk
4.54KB
2024-10-25 22:01:32
innernet-openrc-1.6.1-r0.apk
2.30KB
2024-10-25 22:01:32
innernet-zsh-completion-1.6.1-r0.apk
5.45KB
2024-10-25 22:01:32
interception-tools-0.6.8-r3.apk
100.90KB
2025-10-12 17:19:50
interception-tools-openrc-0.6.8-r3.apk
1.70KB
2025-10-12 17:19:50
intiface-central-2.6.7-r3.apk
10.59MB
2025-11-16 19:55:56
invidtui-0.4.6-r10.apk
4.22MB
2025-12-05 00:48:41
iotas-0.12.5-r0.apk
224.82KB
2025-11-18 22:53:55
iotas-lang-0.12.5-r0.apk
159.94KB
2025-11-18 22:53:55
iotas-pyc-0.12.5-r0.apk
347.73KB
2025-11-18 22:53:55
ip2location-8.6.1-r0.apk
25.27KB
2024-10-25 22:01:32
ip2location-dev-8.6.1-r0.apk
11.93KB
2024-10-25 22:01:32
ip2location-doc-8.6.1-r0.apk
2.71KB
2024-10-25 22:01:32
ipp-usb-0.9.30-r5.apk
2.60MB
2025-12-05 00:48:41
ipp-usb-doc-0.9.30-r5.apk
8.82KB
2025-12-05 00:48:41
ipp-usb-openrc-0.9.30-r5.apk
1.73KB
2025-12-05 00:48:41
iprange-1.0.4-r1.apk
19.87KB
2024-10-25 22:01:32
iprange-doc-1.0.4-r1.apk
4.53KB
2024-10-25 22:01:32
irccd-4.0.3-r0.apk
258.69KB
2024-10-25 22:01:32
irccd-dev-4.0.3-r0.apk
9.62KB
2024-10-25 22:01:32
irccd-doc-4.0.3-r0.apk
80.37KB
2024-10-25 22:01:32
irccd-openrc-4.0.3-r0.apk
1.82KB
2024-10-25 22:01:32
ircd-hybrid-8.2.47-r0.apk
332.18KB
2025-04-05 06:59:24
ircd-hybrid-doc-8.2.47-r0.apk
3.61KB
2025-04-05 06:59:24
ircdog-0.5.4-r10.apk
2.55MB
2025-12-05 00:48:41
isoinfo-0_git20131217-r1.apk
6.52KB
2024-10-25 22:01:32
isomd5sum-1.2.5-r0.apk
19.62KB
2025-07-15 11:02:34
isomd5sum-doc-1.2.5-r0.apk
2.98KB
2025-07-15 11:02:34
it87-src-1_p20240609-r0.apk
29.69KB
2024-10-25 22:01:32
jack_capture-0.9.73_git20210429-r2.apk
31.46KB
2024-10-25 22:01:32
jackal-0.64.0-r20.apk
12.49MB
2025-12-05 00:48:42
jackal-openrc-0.64.0-r20.apk
1.83KB
2025-12-05 00:48:42
jackdaw-0.3.1-r2.apk
1.98MB
2025-05-29 15:00:19
jackdaw-pyc-0.3.1-r2.apk
364.16KB
2025-05-29 15:00:19
jadx-1.5.3-r0.apk
111.26MB
2025-09-10 15:54:04
jadx-doc-1.5.3-r0.apk
5.52KB
2025-09-10 15:54:04
jalv-1.6.8-r1.apk
47.80KB
2024-10-25 22:01:33
jalv-doc-1.6.8-r1.apk
3.18KB
2024-10-25 22:01:33
jalv-gtk-1.6.8-r1.apk
31.58KB
2024-10-25 22:01:33
jaq-2.3.0-r0.apk
790.62KB
2025-07-20 13:54:45
jaq-doc-2.3.0-r0.apk
2.24KB
2025-07-20 13:54:45
java-asmtools-8.0.09-r0.apk
574.10KB
2024-10-25 22:01:33
java-asmtools-doc-8.0.09-r0.apk
6.91KB
2024-10-25 22:01:33
java-gdcm-3.2.2-r3.apk
617.62KB
2025-12-02 08:13:49
java-jtharness-6.0_p12-r0.apk
4.04MB
2024-10-25 22:01:33
java-jtharness-doc-6.0_p12-r0.apk
11.56KB
2024-10-25 22:01:33
java-jtharness-examples-6.0_p12-r0.apk
218.88KB
2024-10-25 22:01:33
java-jtreg-7.5_p1-r0.apk
4.60MB
2024-10-27 15:25:32
jbigkit-2.1-r2.apk
67.23KB
2024-10-25 22:01:33
jbigkit-dev-2.1-r2.apk
30.27KB
2024-10-25 22:01:33
jbigkit-doc-2.1-r2.apk
7.34KB
2024-10-25 22:01:33
jdebp-redo-1.4-r1.apk
93.32KB
2024-10-25 22:01:33
jdebp-redo-doc-1.4-r1.apk
12.12KB
2024-10-25 22:01:33
jdupes-1.28.0-r0.apk
27.20KB
2024-10-25 22:01:33
jdupes-doc-1.28.0-r0.apk
8.99KB
2024-10-25 22:01:33
jedi-language-server-0.45.1-r0.apk
31.75KB
2025-04-29 01:57:11
jedi-language-server-pyc-0.45.1-r0.apk
49.74KB
2025-04-29 01:57:11
jfrog-cli-2.45.0-r17.apk
9.63MB
2025-12-05 00:48:42
jgmenu-4.5.0-r0.apk
123.40KB
2026-01-10 20:07:14
jgmenu-doc-4.5.0-r0.apk
21.94KB
2026-01-10 20:07:14
jgmenu-xfce4-4.5.0-r0.apk
6.78KB
2026-01-10 20:07:14
jhead-3.08-r0.apk
31.35KB
2024-10-25 22:01:33
jhead-doc-3.08-r0.apk
7.90KB
2024-10-25 22:01:33
jotdown-0.7.0-r0.apk
222.97KB
2025-03-12 03:07:23
jreleaser-1.22.0-r0.apk
40.65MB
2025-12-31 17:51:38
jreleaser-doc-1.22.0-r0.apk
5.93KB
2025-12-31 17:51:38
jrsonnet-cli-0.4.2-r1.apk
559.81KB
2024-10-25 22:01:37
jsmn-1.1.0-r2.apk
4.72KB
2024-10-25 22:01:37
json2tsv-1.2-r0.apk
6.42KB
2024-10-25 22:01:37
json2tsv-doc-1.2-r0.apk
5.24KB
2024-10-25 22:01:37
json2tsv-jaq-1.2-r0.apk
1.91KB
2024-10-25 22:01:37
json2tsv-jaq-doc-1.2-r0.apk
2.33KB
2024-10-25 22:01:37
jsonnet-bundler-0.6.0-r10.apk
3.40MB
2025-12-05 00:48:42
jsonnet-language-server-0.16.0-r1.apk
4.66MB
2025-12-05 00:48:42
junit2html-31.0.2-r0.apk
16.73KB
2024-10-25 22:01:37
junit2html-pyc-31.0.2-r0.apk
23.62KB
2024-10-25 22:01:37
jupyterlab3-3.6.8-r1.apk
13.99MB
2025-08-09 19:27:59
jwt-cli-6.2.0-r0.apk
0.98MB
2024-12-14 20:18:06
k2-0_git20250903-r0.apk
72.36KB
2026-01-10 00:13:50
k3sup-0.13.6-r10.apk
2.80MB
2025-12-05 00:48:42
k3sup-bash-completion-0.13.6-r10.apk
5.02KB
2025-12-05 00:48:42
k3sup-fish-completion-0.13.6-r10.apk
4.27KB
2025-12-05 00:48:42
k3sup-zsh-completion-0.13.6-r10.apk
4.00KB
2025-12-05 00:48:42
kabmat-2.7.0-r0.apk
56.88KB
2024-10-25 22:01:37
kabmat-doc-2.7.0-r0.apk
3.54KB
2024-10-25 22:01:37
kanidm-1.8.5-r1.apk
1.27KB
2026-01-11 20:31:50
kanidm-bash-completion-1.8.5-r1.apk
26.54KB
2026-01-11 20:31:50
kanidm-clients-1.8.5-r1.apk
3.61MB
2026-01-11 20:31:50
kanidm-openrc-1.8.5-r1.apk
2.06KB
2026-01-11 20:31:50
kanidm-server-1.8.5-r1.apk
14.89MB
2026-01-11 20:31:50
kanidm-unixd-clients-1.8.5-r1.apk
8.09MB
2026-01-11 20:31:50
kanidm-zsh-completion-1.8.5-r1.apk
36.79KB
2026-01-11 20:31:50
kapow-0.7.1-r18.apk
3.76MB
2025-12-05 00:48:42
katana-1.3.0-r0.apk
16.73MB
2026-01-07 02:06:07
katana-doc-1.3.0-r0.apk
2.25KB
2026-01-07 02:06:07
katarakt-0.3-r0.apk
118.16KB
2026-01-05 22:12:28
kbs2-0.7.3-r0.apk
1.22MB
2025-07-30 10:28:24
kbs2-bash-completion-0.7.3-r0.apk
3.23KB
2025-07-30 10:28:24
kbs2-fish-completion-0.7.3-r0.apk
3.44KB
2025-07-30 10:28:24
kbs2-zsh-completion-0.7.3-r0.apk
4.04KB
2025-07-30 10:28:24
kcbench-0.9.14-r0.apk
37.21KB
2026-01-07 18:37:30
kcbench-doc-0.9.14-r0.apk
20.24KB
2026-01-07 18:37:30
kdiskmark-3.2.0-r0.apk
171.34KB
2025-06-30 19:46:08
kdiskmark-lang-3.2.0-r0.apk
31.83KB
2025-06-30 19:46:08
keepsecret-1.0.0-r0.apk
133.73KB
2025-12-15 18:22:54
keepsecret-lang-1.0.0-r0.apk
26.99KB
2025-12-15 18:22:54
kerberoast-0.2.0-r2.apk
9.33KB
2025-05-29 15:00:19
kerberoast-pyc-0.2.0-r2.apk
15.06KB
2025-05-29 15:00:19
kew-3.7.3-r0.apk
582.66KB
2026-01-07 02:06:07
kew-doc-3.7.3-r0.apk
3.67KB
2026-01-07 02:06:07
keybase-client-6.2.8-r15.apk
18.99MB
2025-12-05 00:48:42
keydb-6.3.4-r0.apk
1.05MB
2024-10-25 22:01:39
keydb-benchmark-6.3.4-r0.apk
371.52KB
2024-10-25 22:01:39
keydb-cli-6.3.4-r0.apk
366.96KB
2024-10-25 22:01:39
keydb-openrc-6.3.4-r0.apk
2.61KB
2024-10-25 22:01:39
keystone-0.9.2-r6.apk
1.34MB
2024-10-25 22:01:39
keystone-dev-0.9.2-r6.apk
7.31KB
2024-10-25 22:01:39
keystone-python-0.9.2-r6.apk
1.55MB
2024-10-25 22:01:40
keystone-python-pyc-0.9.2-r6.apk
9.67KB
2024-10-25 22:01:40
kfc-0.1.4-r0.apk
57.59KB
2024-10-25 22:01:40
khinsider-2.0.7-r25.apk
3.64MB
2025-12-05 00:48:42
khronos-4.0.1-r0.apk
53.35KB
2024-10-25 22:01:40
khronos-lang-4.0.1-r0.apk
25.73KB
2024-10-25 22:01:40
kiesel-0_git20260112-r0.apk
5.94MB
2026-01-13 01:10:30
kiesel-doc-0_git20260112-r0.apk
3.72KB
2026-01-13 01:10:30
kile-3.0_beta4-r0.apk
2.31MB
2025-10-27 16:05:15
kile-doc-3.0_beta4-r0.apk
4.56MB
2025-10-27 16:05:15
kile-lang-3.0_beta4-r0.apk
2.68MB
2025-10-27 16:05:15
kimchi-3.0.0-r8.apk
528.90KB
2025-02-22 16:38:56
kimchi-lang-3.0.0-r8.apk
171.91KB
2025-02-22 16:38:56
kimchi-pyc-3.0.0-r8.apk
476.08KB
2025-02-22 16:38:56
kine-0.10.1-r18.apk
8.21MB
2025-12-05 00:48:43
kine-doc-0.10.1-r18.apk
5.15KB
2025-12-05 00:48:43
kirc-0.3.3-r0.apk
14.68KB
2025-02-22 17:08:56
kirc-doc-0.3.3-r0.apk
2.78KB
2025-02-22 17:08:56
kismet-0.202509.1-r0.apk
12.30MB
2025-10-09 10:23:32
kismet-linux-bluetooth-0.202509.1-r0.apk
46.12KB
2025-10-09 10:23:32
kismet-linux-wifi-0.202509.1-r0.apk
64.92KB
2025-10-09 10:23:32
kismet-logtools-0.202509.1-r0.apk
1.13MB
2025-10-09 10:23:32
kismet-nrf-51822-0.202509.1-r0.apk
44.09KB
2025-10-09 10:23:32
kismet-nxp-kw41z-0.202509.1-r0.apk
45.57KB
2025-10-09 10:23:32
kjv-0_git20221103-r0.apk
1.54MB
2024-10-25 22:01:40
klevernotes-1.1.0-r0.apk
2.34MB
2024-10-25 22:01:40
klevernotes-lang-1.1.0-r0.apk
142.07KB
2024-10-25 22:01:40
klong-20221212-r0.apk
332.91KB
2025-06-07 18:16:56
kmscon-9.2.1-r0.apk
863.64KB
2026-01-09 18:03:47
kmscon-doc-9.2.1-r0.apk
11.23KB
2026-01-09 18:03:47
kmscon-systemd-9.2.1-r0.apk
2.70KB
2026-01-09 18:03:47
knative-client-1.19.6-r1.apk
23.99MB
2025-12-05 00:48:43
knative-client-bash-completion-1.19.6-r1.apk
10.16KB
2025-12-05 00:48:43
knative-client-fish-completion-1.19.6-r1.apk
4.31KB
2025-12-05 00:48:43
knative-client-zsh-completion-1.19.6-r1.apk
4.04KB
2025-12-05 00:48:43
knxd-0.14.61-r1.apk
397.01KB
2024-12-14 23:23:00
knxd-dev-0.14.61-r1.apk
23.54KB
2024-12-14 23:23:00
ko-0.17.1-r10.apk
10.81MB
2025-12-05 00:48:43
ko-bash-completion-0.17.1-r10.apk
5.04KB
2025-12-05 00:48:43
ko-fish-completion-0.17.1-r10.apk
4.29KB
2025-12-05 00:48:43
ko-zsh-completion-0.17.1-r10.apk
4.02KB
2025-12-05 00:48:43
kodaskanna-0.2.2-r0.apk
50.69KB
2025-01-20 10:16:48
kodaskanna-lang-0.2.2-r0.apk
23.20KB
2025-01-20 10:16:48
kodi-audioencoder-flac-20.2.0-r1.apk
42.98KB
2024-10-25 22:01:41
kodi-audioencoder-lame-20.3.0-r1.apk
91.94KB
2024-10-25 22:01:41
kodi-audioencoder-vorbis-20.2.0-r1.apk
34.62KB
2024-10-25 22:01:41
kodi-audioencoder-wav-20.2.0-r1.apk
26.83KB
2024-10-25 22:01:41
kodi-game-libretro-20.1.0-r0.apk
116.51KB
2024-10-25 22:01:41
kodi-game-libretro-atari800-3.1.0.28-r0.apk
240.73KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
15.44KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
14.80KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
16.75KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
20.93KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
53.83KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
64.04KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
19.72KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
19.87KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
16.43KB
2024-10-25 22:01:41
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
17.50KB
2024-10-25 22:01:41
kodi-game-libretro-desmume-0.0.1.28-r0.apk
63.60KB
2024-10-25 22:01:41
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
73.79KB
2024-10-25 22:01:41
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
180.05KB
2024-10-25 22:01:41
kodi-game-libretro-frodo-0.0.1.25-r0.apk
160.45KB
2024-10-25 22:01:41
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
326.41KB
2024-10-25 22:01:41
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
1.33MB
2024-10-25 22:01:41
kodi-game-libretro-mgba-0.11.0.44-r0.apk
19.44KB
2024-10-25 22:01:41
kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk
127.47KB
2024-10-25 22:01:41
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
22.74KB
2024-10-25 22:01:41
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
25.99KB
2024-10-25 22:01:41
kodi-game-libretro-theodore-0.0.1.32-r0.apk
16.05KB
2024-10-25 22:01:41
kodi-inputstream-adaptive-21.5.9-r0.apk
1.04MB
2025-03-02 18:15:12
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
346.96KB
2025-03-02 18:15:12
kodi-inputstream-rtmp-21.1.2-r0.apk
86.96KB
2025-03-02 18:15:12
kodi-peripheral-joystick-20.1.9-r0.apk
215.26KB
2024-10-25 22:01:41
kodi-pvr-hts-21.2.6-r0.apk
297.64KB
2025-03-02 18:15:12
kodi-pvr-iptvsimple-21.10.2-r0.apk
925.52KB
2025-03-02 18:15:12
kodi-vfs-libarchive-21.0.2-r0.apk
110.83KB
2025-03-02 18:15:12
kodi-vfs-rar-20.1.0-r1.apk
400.71KB
2024-10-25 22:01:41
kodi-vfs-sacd-20.1.0-r1.apk
93.36KB
2024-10-25 22:01:41
kodi-vfs-sftp-20.2.0-r1.apk
53.23KB
2024-10-25 22:01:41
komikku-1.85.0-r0.apk
444.10KB
2025-08-22 11:26:13
komikku-lang-1.85.0-r0.apk
284.29KB
2025-08-22 11:26:13
komikku-pyc-1.85.0-r0.apk
797.61KB
2025-08-22 11:26:13
kompose-1.31.2-r15.apk
7.54MB
2025-12-05 00:48:43
kompose-bash-completion-1.31.2-r15.apk
5.59KB
2025-12-05 00:48:43
kompose-fish-completion-1.31.2-r15.apk
4.37KB
2025-12-05 00:48:43
kompose-zsh-completion-1.31.2-r15.apk
6.78KB
2025-12-05 00:48:43
kondo-0.8-r0.apk
691.56KB
2024-10-25 22:01:41
kondo-bash-completion-0.8-r0.apk
2.10KB
2024-10-25 22:01:41
kondo-fish-completion-0.8-r0.apk
2.10KB
2024-10-25 22:01:41
kondo-zsh-completion-0.8-r0.apk
2.46KB
2024-10-25 22:01:41
kontainer-1.0.1-r0.apk
193.47KB
2025-06-17 02:00:02
kopia-0.22.3-r0.apk
16.62MB
2026-01-12 23:26:37
kopia-bash-completion-0.22.3-r0.apk
1.76KB
2026-01-12 23:26:37
kopia-zsh-completion-0.22.3-r0.apk
1.79KB
2026-01-12 23:26:37
kops-1.28.4-r15.apk
35.73MB
2025-12-05 00:48:44
kotlin-language-server-1.3.13-r0.apk
83.26MB
2025-04-03 03:11:57
kpatch-0.9.11-r1.apk
130.72KB
2025-11-17 15:11:09
kpatch-doc-0.9.11-r1.apk
3.50KB
2025-11-17 15:11:09
kpatch-systemd-0.9.11-r1.apk
1.78KB
2025-11-17 15:11:09
kraftkit-0.12.3-r1.apk
31.00MB
2025-12-05 00:48:44
kraftkit-bash-completion-0.12.3-r1.apk
6.11KB
2025-12-05 00:48:44
kraftkit-doc-0.12.3-r1.apk
73.20KB
2025-12-05 00:48:44
kraftkit-fish-completion-0.12.3-r1.apk
4.32KB
2025-12-05 00:48:44
kraftkit-zsh-completion-0.12.3-r1.apk
4.05KB
2025-12-05 00:48:44
krita-plugin-gmic-3.2.4.1-r3.apk
2.75MB
2024-10-25 22:01:43
kronosnet-1.32-r0.apk
70.85KB
2025-12-27 12:37:58
kronosnet-dev-1.32-r0.apk
2.67MB
2025-12-27 12:37:58
kronosnet-doc-1.32-r0.apk
108.04KB
2025-12-27 12:37:58
ktx-4.3.2-r1.apk
1.25MB
2025-06-10 05:57:37
ktx-dev-4.3.2-r1.apk
28.92KB
2025-06-10 05:57:37
ktx-libs-4.3.2-r1.apk
1.36MB
2025-06-10 05:57:37
kube-no-trouble-0.7.3-r10.apk
14.07MB
2025-12-05 00:48:44
kubeconform-0.7.0-r3.apk
3.81MB
2025-12-05 00:48:44
kubectl-krew-0.4.5-r7.apk
4.82MB
2025-12-05 00:48:44
kubectl-oidc_login-1.34.2-r1.apk
5.83MB
2025-12-05 00:48:45
kubepug-1.7.1-r15.apk
16.89MB
2025-12-05 00:48:45
kubepug-bash-completion-1.7.1-r15.apk
5.13KB
2025-12-05 00:48:45
kubepug-fish-completion-1.7.1-r15.apk
4.33KB
2025-12-05 00:48:45
kubepug-zsh-completion-1.7.1-r15.apk
4.05KB
2025-12-05 00:48:45
kubeseal-0.32.1-r2.apk
11.93MB
2025-12-05 00:48:45
kubeseal-doc-0.32.1-r2.apk
5.52KB
2025-12-05 00:48:45
kubesplit-0.3.3-r1.apk
12.67KB
2024-10-25 22:01:45
kubesplit-pyc-0.3.3-r1.apk
12.96KB
2024-10-25 22:01:45
kuma-cp-2.10.1-r6.apk
29.97MB
2025-12-05 00:48:45
kuma-cp-openrc-2.10.1-r6.apk
2.15KB
2025-12-05 00:48:45
kuma-dp-2.10.1-r6.apk
24.24MB
2025-12-05 00:48:45
kuma-dp-openrc-2.10.1-r6.apk
2.13KB
2025-12-05 00:48:45
kumactl-2.10.1-r6.apk
27.16MB
2025-12-05 00:48:46
kumactl-bash-completion-2.10.1-r6.apk
10.28KB
2025-12-05 00:48:46
kumactl-fish-completion-2.10.1-r6.apk
4.33KB
2025-12-05 00:48:46
kumactl-zsh-completion-2.10.1-r6.apk
4.04KB
2025-12-05 00:48:46
lavacli-2.4-r0.apk
49.33KB
2025-06-15 06:57:46
lavacli-doc-2.4-r0.apk
34.16KB
2025-06-15 06:57:46
lavacli-pyc-2.4-r0.apk
88.17KB
2025-06-15 06:57:46
laze-0.1.38-r0.apk
1.06MB
2025-07-20 23:22:25
laze-bash-completion-0.1.38-r0.apk
3.15KB
2025-07-20 23:22:25
laze-doc-0.1.38-r0.apk
3.59KB
2025-07-20 23:22:25
laze-fish-completion-0.1.38-r0.apk
3.44KB
2025-07-20 23:22:25
laze-zsh-completion-0.1.38-r0.apk
3.68KB
2025-07-20 23:22:25
lbb-0.10.4-r1.apk
3.35MB
2025-12-05 00:48:46
lbb-doc-0.10.4-r1.apk
20.28KB
2025-12-05 00:48:46
lcalc-2.1.0-r0.apk
205.12KB
2025-05-03 13:05:57
lcalc-dev-2.1.0-r0.apk
51.36KB
2025-05-03 13:05:57
lcalc-doc-2.1.0-r0.apk
436.77KB
2025-05-03 13:05:57
lcalc-libs-2.1.0-r0.apk
218.82KB
2025-05-03 13:05:57
ldapdomaindump-0.10.0-r0.apk
18.24KB
2025-07-30 22:18:51
ldapdomaindump-pyc-0.10.0-r0.apk
30.36KB
2025-07-30 22:18:51
ldc-runtime-cross-1.41.0-r0.apk
2.49KB
2025-06-07 19:13:18
ldc-runtime-cross-aarch64-1.41.0-r0.apk
6.82MB
2025-06-07 19:13:18
ldc-runtime-cross-loongarch64-1.41.0-r0.apk
6.55MB
2025-06-07 19:13:18
ldc-runtime-cross-ppc64le-1.41.0-r0.apk
6.85MB
2025-06-07 19:13:18
ldc-runtime-cross-riscv64-1.41.0-r0.apk
7.07MB
2025-06-07 19:13:18
ledmon-1.0.0-r0.apk
78.85KB
2024-10-25 22:01:46
ledmon-doc-1.0.0-r0.apk
14.08KB
2024-10-25 22:01:46
lefthook-2.0.4-r1.apk
6.03MB
2025-12-05 00:48:46
lefthook-doc-2.0.4-r1.apk
2.25KB
2025-12-05 00:48:46
legume-1.4.2-r14.apk
1.58MB
2025-12-05 00:48:46
legume-doc-1.4.2-r14.apk
12.32KB
2025-12-05 00:48:46
lem-2.2.0-r0.apk
23.94MB
2024-10-25 22:01:47
lem-common-2.2.0-r0.apk
11.15KB
2024-10-25 22:01:47
lem-gui-2.2.0-r0.apk
26.14MB
2024-10-25 22:01:47
lemmy-0.19.12-r1.apk
12.47MB
2025-10-14 17:16:20
lemmy-localdb-0.19.12-r1.apk
2.42KB
2025-10-14 17:16:20
lemmy-openrc-0.19.12-r1.apk
1.89KB
2025-10-14 17:16:20
lemmy-ui-0.19.12-r0.apk
51.67MB
2025-06-23 07:46:50
lemmy-ui-openrc-0.19.12-r0.apk
2.13KB
2025-06-23 07:46:50
leptosfmt-0.1.33-r0.apk
1.03MB
2025-03-25 17:30:52
leptosfmt-doc-0.1.33-r0.apk
6.04KB
2025-03-25 17:30:52
levmar-dev-2.6-r0.apk
47.47KB
2024-10-25 22:01:48
lfm-3.1-r4.apk
88.13KB
2024-10-25 22:01:48
lfm-doc-3.1-r4.apk
2.76KB
2024-10-25 22:01:48
lfm-pyc-3.1-r4.apk
133.72KB
2024-10-25 22:01:48
lgogdownloader-3.18-r0.apk
360.15KB
2025-12-31 23:50:14
lgogdownloader-doc-3.18-r0.apk
8.46KB
2025-12-31 23:50:14
libabigail-2.8-r0.apk
0.98MB
2025-11-02 21:38:16
libabigail-bash-completion-2.8-r0.apk
2.82KB
2025-11-02 21:38:16
libabigail-dev-2.8-r0.apk
1.48MB
2025-11-02 21:38:16
libabigail-doc-2.8-r0.apk
76.82KB
2025-11-02 21:38:16
libabigail-tools-2.8-r0.apk
122.60KB
2025-11-02 21:38:16
libandroidfw-0_git20251009-r0.apk
383.43KB
2026-01-08 14:10:16
libandroidfw-dev-0_git20251009-r0.apk
7.15KB
2026-01-08 14:10:16
libantlr3c-3.4-r3.apk
50.13KB
2024-10-25 22:01:49
libantlr3c-dev-3.4-r3.apk
58.38KB
2024-10-25 22:01:49
libantlr4-4.13.2-r0.apk
461.67KB
2024-12-12 13:22:05
libantlr4-dev-4.13.2-r0.apk
936.41KB
2024-12-12 13:22:05
libaudec-0.3.4-r3.apk
27.46KB
2024-10-25 22:01:49
libaudec-dev-0.3.4-r3.apk
4.28KB
2024-10-25 22:01:49
libaudec-static-0.3.4-r3.apk
30.57KB
2024-10-25 22:01:49
libaudec-tools-0.3.4-r3.apk
27.68KB
2024-10-25 22:01:49
libb64-2.0.0.1-r0.apk
4.37KB
2024-10-25 22:01:49
libb64-dev-2.0.0.1-r0.apk
5.71KB
2024-10-25 22:01:49
libb64-doc-2.0.0.1-r0.apk
8.04KB
2024-10-25 22:01:49
libbamf-0.5.6-r1.apk
149.34KB
2024-10-25 22:01:49
libbamf-dev-0.5.6-r1.apk
6.42KB
2024-10-25 22:01:49
libbamf-doc-0.5.6-r1.apk
31.08KB
2024-10-25 22:01:49
libblastrampoline-5.2.0-r0.apk
329.93KB
2024-10-25 22:01:49
libblastrampoline-dev-5.2.0-r0.apk
96.08KB
2024-10-25 22:01:49
libbloom-2.0-r0.apk
5.52KB
2024-10-25 22:01:49
libbloom-dev-2.0-r0.apk
3.48KB
2024-10-25 22:01:49
libbraiding-1.3.1-r0.apk
95.36KB
2024-11-17 06:04:09
libbraiding-dev-1.3.1-r0.apk
15.07KB
2024-11-17 06:04:09
libbsoncxx-3.8.0-r0.apk
43.29KB
2024-10-25 22:01:49
libbsoncxx-dev-3.8.0-r0.apk
38.68KB
2024-10-25 22:01:49
libclc-next-22.0.0_pre20251226-r0.apk
12.30MB
2025-12-27 12:35:28
libcli-1.10.7-r0.apk
29.69KB
2024-10-25 22:01:49
libcob4-3.2-r0.apk
205.52KB
2025-07-28 17:02:23
libcork-0.15.0-r7.apk
33.12KB
2024-10-25 22:01:49
libcork-dev-0.15.0-r7.apk
29.98KB
2024-10-25 22:01:49
libcork-tools-0.15.0-r7.apk
4.36KB
2024-10-25 22:01:49
libcorkipset-1.1.1-r4.apk
12.91KB
2024-10-25 22:01:49
libcorkipset-dev-1.1.1-r4.apk
8.10KB
2024-10-25 22:01:49
libcorkipset-tools-1.1.1-r4.apk
11.40KB
2024-10-25 22:01:49
libcotp-3.1.1-r0.apk
7.92KB
2025-10-04 14:04:20
libcotp-dev-3.1.1-r0.apk
2.53KB
2025-10-04 14:04:20
libctl-4.5.1-r1.apk
96.79KB
2024-10-25 22:01:49
libctl-dev-4.5.1-r1.apk
38.56KB
2024-10-25 22:01:49
libctl-doc-4.5.1-r1.apk
3.02KB
2024-10-25 22:01:49
libcyaml-1.4.2-r0.apk
20.13KB
2024-10-25 22:01:49
libcyaml-dev-1.4.2-r0.apk
12.86KB
2024-10-25 22:01:49
libcyaml-doc-1.4.2-r0.apk
8.65KB
2024-10-25 22:01:49
libcyaml-static-1.4.2-r0.apk
24.51KB
2024-10-25 22:01:49
libdbusaccess-1.0.20-r1.apk
17.39KB
2025-08-09 19:27:59
libdbusaccess-dev-1.0.20-r1.apk
5.00KB
2025-08-09 19:27:59
libdcmtk-3.7.0-r0.apk
6.55MB
2025-12-28 22:54:33
libdiscid-0.6.5-r0.apk
11.27KB
2026-01-10 01:20:41
libdiscid-dev-0.6.5-r0.apk
6.64KB
2026-01-10 01:20:41
libdng-0.2.1-r0.apk
10.64KB
2024-12-28 00:09:41
libdng-dev-0.2.1-r0.apk
3.20KB
2024-12-28 00:09:41
libdng-doc-0.2.1-r0.apk
4.20KB
2024-12-28 00:09:41
libdng-utils-0.2.1-r0.apk
5.84KB
2024-12-28 00:09:41
libeantic-2.1.0-r2.apk
76.79KB
2025-11-26 10:24:44
libeantic-dev-2.1.0-r2.apk
17.51KB
2025-11-26 10:24:44
libecap-1.0.1-r1.apk
13.22KB
2024-10-25 22:01:49
libecap-dev-1.0.1-r1.apk
11.43KB
2024-10-25 22:01:49
libecap-static-1.0.1-r1.apk
16.45KB
2024-10-25 22:01:49
libemf2svg-1.1.0-r3.apk
153.76KB
2025-10-06 14:28:57
libemf2svg-utils-1.1.0-r3.apk
17.45KB
2025-10-06 14:28:57
liberasurecode-1.6.3-r1.apk
40.90KB
2024-10-25 22:01:49
liberasurecode-dev-1.6.3-r1.apk
18.27KB
2024-10-25 22:01:49
libetebase-0.5.8-r0.apk
830.10KB
2025-09-27 22:40:29
libetebase-dev-0.5.8-r0.apk
10.89KB
2025-09-27 22:40:29
libettercap-0.8.3.1-r3.apk
195.30KB
2024-10-25 22:01:49
libfishsound-1.0.0-r1.apk
9.56KB
2024-10-25 22:01:49
libfishsound-dev-1.0.0-r1.apk
62.39KB
2024-10-25 22:01:49
libfishsound-doc-1.0.0-r1.apk
75.32KB
2024-10-25 22:01:49
libfoma-0.10.0_git20240712-r0.apk
104.04KB
2024-10-25 22:01:49
libfort-0.4.2-r0.apk
31.37KB
2024-10-25 22:01:49
libfort-dev-0.4.2-r0.apk
17.43KB
2024-10-25 22:01:49
libgdcm-3.2.2-r3.apk
2.61MB
2025-12-02 08:13:49
libgivaro-4.2.0-r2.apk
74.53KB
2024-10-25 22:01:49
libgivaro-dev-4.2.0-r2.apk
244.44KB
2024-10-25 22:01:49
libgivaro-static-4.2.0-r2.apk
90.29KB
2024-10-25 22:01:49
libglib-testing-0.1.1-r0.apk
11.98KB
2025-05-10 06:12:15
libglib-testing-dev-0.1.1-r0.apk
5.52KB
2025-05-10 06:12:15
libglib-testing-doc-0.1.1-r0.apk
25.02KB
2025-05-10 06:12:15
libgrapheme-2.0.2-r0.apk
25.16KB
2025-07-23 01:16:03
libgrapheme-dev-2.0.2-r0.apk
31.09KB
2025-07-23 01:16:03
libgrapheme-doc-2.0.2-r0.apk
20.65KB
2025-07-23 01:16:03
libguestfs-1.56.1-r0.apk
325.76KB
2025-07-23 01:16:03
libguestfs-dev-1.56.1-r0.apk
29.42KB
2025-07-23 01:16:03
libguestfs-doc-1.56.1-r0.apk
568.56KB
2025-07-23 01:16:03
libguestfs-static-1.56.1-r0.apk
444.46KB
2025-07-23 01:16:03
libhomfly-1.02_p6-r1.apk
15.54KB
2024-10-25 22:01:49
libhomfly-dev-1.02_p6-r1.apk
19.21KB
2024-10-25 22:01:49
libhwpwm-0.4.4-r0.apk
5.44KB
2024-10-25 22:01:49
libhwpwm-dev-0.4.4-r0.apk
5.83KB
2024-10-25 22:01:49
libhwpwm-doc-0.4.4-r0.apk
13.05KB
2024-10-25 22:01:49
libibumad-1.3.10.2-r3.apk
15.56KB
2024-10-25 22:01:49
libibumad-dev-1.3.10.2-r3.apk
7.58KB
2024-10-25 22:01:49
libibumad-doc-1.3.10.2-r3.apk
23.20KB
2024-10-25 22:01:49
libideviceactivation-1.1.1-r5.apk
16.20KB
2024-10-31 00:44:48
libideviceactivation-dev-1.1.1-r5.apk
3.31KB
2024-10-31 00:44:48
libideviceactivation-doc-1.1.1-r5.apk
2.18KB
2024-10-31 00:44:48
libigraph-1.0.1-r0.apk
1.81MB
2025-12-30 15:36:56
libigraph-dev-1.0.1-r0.apk
89.71KB
2025-12-30 15:36:56
libiio-0.25-r2.apk
51.19KB
2024-10-25 22:01:49
libiio-dev-0.25-r2.apk
13.35KB
2024-10-25 22:01:49
libiio-doc-0.25-r2.apk
17.86KB
2024-10-25 22:01:49
libiio-pyc-0.25-r2.apk
20.95KB
2024-10-25 22:01:49
libiio-tools-0.25-r2.apk
71.85KB
2024-10-25 22:01:49
libinfnoise-0.3.3-r0.apk
12.92KB
2025-05-26 11:58:45
libirecovery-1.3.0-r0.apk
24.15KB
2025-10-06 20:37:10
libirecovery-dev-1.3.0-r0.apk
4.16KB
2025-10-06 20:37:10
libirecovery-progs-1.3.0-r0.apk
8.01KB
2025-10-06 20:37:10
libiscsi-1.19.0-r2.apk
59.65KB
2024-10-25 22:01:49
libiscsi-dev-1.19.0-r2.apk
20.41KB
2024-10-25 22:01:49
libiscsi-doc-1.19.0-r2.apk
9.28KB
2024-10-25 22:01:49
libiscsi-static-1.19.0-r2.apk
71.34KB
2024-10-25 22:01:49
libiscsi-utils-1.19.0-r2.apk
85.55KB
2024-10-25 22:01:49
libjodycode-3.1.1-r0.apk
7.28KB
2024-10-25 22:01:49
libjodycode-dev-3.1.1-r0.apk
4.22KB
2024-10-25 22:01:49
libjodycode-doc-3.1.1-r0.apk
3.67KB
2024-10-25 22:01:49
liblastfm-qt-1.1.10_git20190823-r3.apk
159.86KB
2024-10-25 22:01:49
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
19.62KB
2024-10-25 22:01:49
libm4ri-20240729-r2.apk
119.94KB
2025-01-15 20:10:36
libm4ri-dev-20240729-r2.apk
31.59KB
2025-01-15 20:10:36
libm4ri-static-20240729-r2.apk
135.13KB
2025-01-15 20:10:36
libm4rie-20200125-r5.apk
163.64KB
2025-01-15 20:10:36
libm4rie-dev-20200125-r5.apk
24.43KB
2025-01-15 20:10:36
libm4rie-static-20200125-r5.apk
189.92KB
2025-01-15 20:10:36
libmdbx-0.11.8-r0.apk
714.25KB
2024-10-25 22:01:49
libmdbx-dbg-0.11.8-r0.apk
2.60MB
2024-10-25 22:01:49
libmdbx-dev-0.11.8-r0.apk
93.19KB
2024-10-25 22:01:49
libmdbx-doc-0.11.8-r0.apk
8.85KB
2024-10-25 22:01:49
libmdf-1.0.29-r0.apk
32.64KB
2024-10-25 22:01:49
libmdf-dev-1.0.29-r0.apk
13.82KB
2024-10-25 22:01:49
libmhash-0.9.9.9-r3.apk
94.40KB
2024-10-25 22:01:50
libmhash-dev-0.9.9.9-r3.apk
105.06KB
2024-10-25 22:01:50
libmhash-doc-0.9.9.9-r3.apk
8.15KB
2024-10-25 22:01:50
libmpfi-1.5.4-r2.apk
34.46KB
2024-10-25 22:01:50
libmpfi-dev-1.5.4-r2.apk
5.38KB
2024-10-25 22:01:50
libmpfi-doc-1.5.4-r2.apk
18.75KB
2024-10-25 22:01:50
libmpfi-static-1.5.4-r2.apk
51.12KB
2024-10-25 22:01:50
libmrss-0.19.2-r1.apk
20.07KB
2024-10-25 22:01:50
libmrss-dev-0.19.2-r1.apk
30.33KB
2024-10-25 22:01:50
libmustache-0.5.0-r1.apk
81.02KB
2024-10-25 22:01:50
libmygpo-qt-1.1.0-r2.apk
82.16KB
2024-10-25 22:01:50
libmygpo-qt-dev-1.1.0-r2.apk
11.85KB
2024-10-25 22:01:50
libmysofa-1.3.2-r0.apk
25.30KB
2024-10-25 22:01:50
libmysofa-dev-1.3.2-r0.apk
7.02KB
2024-10-25 22:01:50
libmysofa-tools-1.3.2-r0.apk
1.07MB
2024-10-25 22:01:50
libneo4j-client-2.2.0-r3.apk
70.19KB
2024-10-25 22:01:50
libneo4j-client-dev-2.2.0-r3.apk
108.38KB
2024-10-25 22:01:50
libnest2d-0.4-r7.apk
1.22KB
2025-02-06 06:45:15
libnest2d-dev-0.4-r7.apk
69.87KB
2025-02-06 06:45:15
libnfcdef-1.1.0-r0.apk
12.64KB
2026-01-02 19:16:39
libnfcdef-dev-1.1.0-r0.apk
5.97KB
2026-01-02 19:16:39
libnih-1.0.3-r7.apk
113.44KB
2024-10-25 22:01:50
libnih-dev-1.0.3-r7.apk
113.28KB
2024-10-25 22:01:50
libnih-doc-1.0.3-r7.apk
2.71KB
2024-10-25 22:01:50
libntl-11.6.0-r0.apk
1.14MB
2025-11-08 09:11:36
libntl-dev-11.6.0-r0.apk
157.46KB
2025-11-08 09:11:36
libntl-doc-11.6.0-r0.apk
365.53KB
2025-11-08 09:11:36
libntl-static-11.6.0-r0.apk
1.64MB
2025-11-08 09:11:36
libnxml-0.18.3-r0.apk
19.45KB
2024-10-25 22:01:50
libnxml-dev-0.18.3-r0.apk
26.71KB
2024-10-25 22:01:50
libofx-0.10.9-r1.apk
61.22KB
2024-10-25 22:01:50
libofx-dev-0.10.9-r1.apk
19.59KB
2024-10-25 22:01:50
libofx-tools-0.10.9-r1.apk
102.84KB
2024-10-25 22:01:50
liboggz-1.1.1-r2.apk
95.87KB
2024-10-25 22:01:50
liboggz-dev-1.1.1-r2.apk
175.87KB
2024-10-25 22:01:50
liboggz-doc-1.1.1-r2.apk
134.23KB
2024-10-25 22:01:50
libopensles-standalone-0_git20250913-r0.apk
36.54KB
2025-09-22 16:31:02
libopensles-standalone-dbg-0_git20250913-r0.apk
244.20KB
2025-09-22 16:31:02
libopensles-standalone-dev-0_git20250913-r0.apk
1.58KB
2025-09-22 16:31:02
libqd-2.3.24-r0.apk
165.85KB
2024-10-25 22:01:50
libqd-dev-2.3.24-r0.apk
57.88KB
2024-10-25 22:01:50
libqd-doc-2.3.24-r0.apk
182.46KB
2024-10-25 22:01:50
libqd-static-2.3.24-r0.apk
256.96KB
2024-10-25 22:01:50
libqofono-0.124-r0.apk
1.22KB
2025-01-10 19:03:06
libqofono-dev-0.124-r0.apk
42.10KB
2025-01-10 19:03:06
libqofono-qt5-0.124-r0.apk
277.91KB
2025-01-10 19:03:06
libqofono-qt6-0.124-r0.apk
413.63KB
2025-01-10 19:03:06
libqtdbusmock-0.9.1-r2.apk
66.68KB
2025-02-22 16:39:00
libqtdbustest-0.4.0-r0.apk
29.96KB
2025-09-04 00:08:43
libre-4.1.0-r0.apk
287.27KB
2025-10-03 10:59:18
libre-dev-4.1.0-r0.apk
470.58KB
2025-10-03 10:59:18
libreoffice-voikko-5.0_git20200127-r0.apk
45.37KB
2024-10-25 22:01:50
librespot-0.8.0-r0.apk
2.27MB
2025-11-20 12:14:34
librespot-openrc-0.8.0-r0.apk
1.87KB
2025-11-20 12:14:34
libresprite-1.2-r0.apk
14.90MB
2025-04-14 01:15:57
libresprite-doc-1.2-r0.apk
14.72KB
2025-04-14 01:15:57
libretro-atari800-0_git20240924-r0.apk
271.79KB
2024-10-25 22:01:50
libretro-beetle-pce-fast-0_git20220205-r0.apk
435.52KB
2024-10-25 22:01:50
libretro-beetle-pcfx-0_git20220409-r0.apk
318.47KB
2024-10-25 22:01:50
libretro-beetle-saturn-0_git20220417-r0.apk
1.53MB
2024-10-25 22:01:50
libretro-beetle-supergrafx-0_git20220218-r0.apk
438.87KB
2024-10-25 22:01:50
libretro-blastem-0_git20210810-r0.apk
240.91KB
2024-10-25 22:01:50
libretro-bluemsx-0_git20240808-r0.apk
638.58KB
2024-10-25 22:01:50
libretro-cannonball-0_git20220309-r6.apk
241.60KB
2024-10-25 22:01:50
libretro-cap32-0_git20220419-r0.apk
299.84KB
2024-10-25 22:01:50
libretro-crocods-0_git20210314-r1.apk
277.07KB
2024-10-25 22:01:50
libretro-daphne-0_git20210108-r2.apk
584.27KB
2024-10-25 22:01:50
libretro-dinothawr-0_git20220401-r0.apk
135.91KB
2024-10-25 22:01:50
libretro-dolphin-0_git20220407-r2.apk
3.15MB
2024-10-25 22:01:50
libretro-fbneo-0_git20220416-r0.apk
12.23MB
2024-10-25 22:01:50
libretro-flycast-0_git20220406-r4.apk
1.51MB
2025-12-20 17:23:47
libretro-freeintv-0_git20220319-r0.apk
33.80KB
2024-10-25 22:01:50
libretro-frodo-0_git20221221-r0.apk
161.40KB
2024-10-25 22:01:50
libretro-fuse-0_git20220417-r0.apk
854.26KB
2024-10-25 22:01:50
libretro-genesis-plus-gx-0_git20230503-r0.apk
706.30KB
2024-10-25 22:01:50
libretro-gme-0_git20240628-r0.apk
185.48KB
2024-10-25 22:01:50
libretro-gong-0_git20220319-r0.apk
9.00KB
2024-10-25 22:01:50
libretro-gw-0_git20220410-r0.apk
187.23KB
2024-10-25 22:01:50
libretro-mame2000-0_git20240701-r0.apk
2.80MB
2024-10-25 22:01:50
libretro-mame2003-0_git20240904-r0.apk
6.76MB
2024-10-25 22:01:50
libretro-mu-0_git20220317-r0.apk
175.92KB
2024-10-25 22:01:50
libretro-neocd-0_git20220325-r1.apk
452.73KB
2024-10-25 22:01:50
libretro-nxengine-0_git20220301-r0.apk
288.03KB
2024-10-25 22:01:51
libretro-openlara-0_git20210121-r0.apk
516.28KB
2024-10-25 22:01:51
libretro-opera-0_git20211214-r0.apk
178.92KB
2024-10-25 22:01:51
libretro-parallel-n64-0_git20220406-r0.apk
806.34KB
2024-10-25 22:01:51
libretro-pcem-0_git20180812-r0.apk
936.66KB
2024-10-25 22:01:51
libretro-pcsx-rearmed-0_git20220409-r0.apk
540.04KB
2024-10-25 22:01:51
libretro-picodrive-0_git20220405-r0.apk
586.87KB
2024-10-25 22:01:51
libretro-pocketcdg-0_git20220327-r0.apk
83.98KB
2024-10-25 22:01:51
libretro-ppsspp-0_git20210516-r15.apk
2.30MB
2025-04-11 00:03:11
libretro-scummvm-0_git20210325-r0.apk
20.16MB
2024-10-25 22:01:51
libretro-snes9x-0_git20240819-r0.apk
791.66KB
2024-10-25 22:01:51
libretro-theodore-3.1-r0.apk
872.67KB
2024-10-25 22:01:51
libretro-tyrquake-0_git20220409-r0.apk
387.22KB
2024-10-25 22:01:51
libretro-xrick-0_git20220331-r0.apk
118.44KB
2024-10-25 22:01:51
libretro-yabause-0_git20210411-r0.apk
497.96KB
2024-10-25 22:01:51
libsbsms-2.3.0-r0.apk
101.93KB
2024-10-25 22:01:51
libsbsms-dev-2.3.0-r0.apk
119.63KB
2024-10-25 22:01:51
libsds-2.0.0-r1.apk
9.68KB
2024-10-25 22:01:51
libsds-dev-2.0.0-r1.apk
3.78KB
2024-10-25 22:01:51
libsemanage-3.6-r1.apk
92.87KB
2024-10-25 22:01:51
libsemanage-dev-3.6-r1.apk
140.61KB
2024-10-25 22:01:51
libsemanage-doc-3.6-r1.apk
22.92KB
2024-10-25 22:01:51
libsemigroups-2.7.3-r1.apk
798.80KB
2024-12-14 23:23:00
libsemigroups-dev-2.7.3-r1.apk
358.90KB
2024-12-14 23:23:00
libsemigroups-static-2.7.3-r1.apk
1.59MB
2024-12-14 23:23:00
libserialport-0.1.1-r1.apk
19.92KB
2024-10-25 22:01:51
libserialport-dev-0.1.1-r1.apk
34.59KB
2024-10-25 22:01:51
libshadowsocks-libev-3.3.5-r4.apk
47.81KB
2024-10-25 22:01:51
libsigrok-0.5.2-r3.apk
472.99KB
2024-10-25 22:01:51
libsigrok-dev-0.5.2-r3.apk
30.67KB
2024-10-25 22:01:51
libsigrokdecode-0.5.3-r4.apk
334.57KB
2024-10-25 22:01:51
libsigrokdecode-dev-0.5.3-r4.apk
38.68KB
2024-10-25 22:01:51
libsimpleble-0.10.3-r0.apk
196.28KB
2025-07-11 06:12:13
libsimpleble-c-0.10.3-r0.apk
14.71KB
2025-07-11 06:12:13
libsimplebluez-0.10.3-r0.apk
141.61KB
2025-07-11 06:12:13
libsirocco-2.1.1-r0.apk
61.60KB
2025-08-31 20:36:47
libsirocco-dev-2.1.1-r0.apk
2.20KB
2025-08-31 20:36:47
libspatialindex-2.1.0-r1.apk
302.25KB
2025-11-10 07:46:52
libspatialindex-dev-2.1.0-r1.apk
21.42KB
2025-11-10 07:46:52
libsquish-1.15-r0.apk
1.23KB
2025-12-29 19:38:39
libsquish-dev-1.15-r0.apk
4.76KB
2025-12-29 19:38:39
libsquish-doc-1.15-r0.apk
2.25KB
2025-12-29 19:38:39
libsquish-libs-1.15-r0.apk
19.87KB
2025-12-29 19:38:39
libstirshaken-0_git20240208-r4.apk
52.15KB
2025-04-28 22:57:53
libstirshaken-dev-0_git20240208-r4.apk
78.36KB
2025-04-28 22:57:53
libstirshaken-tools-0_git20240208-r4.apk
156.88KB
2025-04-28 22:57:53
libtatsu-1.0.5-r0.apk
15.51KB
2025-10-06 20:37:10
libtatsu-dev-1.0.5-r0.apk
21.14KB
2025-10-06 20:37:10
libtcmu-1.6.0-r6.apk
36.31KB
2024-10-25 22:01:51
libtcmu-dev-1.6.0-r6.apk
1.51KB
2024-10-25 22:01:51
libtins-4.5-r2.apk
322.66KB
2025-10-15 11:21:48
libtins-dev-4.5-r2.apk
137.81KB
2025-10-15 11:21:48
libtins-doc-4.5-r2.apk
2.33KB
2025-10-15 11:21:48
libtsm-4.3.0-r0.apk
25.14KB
2026-01-09 18:03:47
libtsm-dev-4.3.0-r0.apk
8.09KB
2026-01-09 18:03:47
libucl-0.9.0-r0.apk
55.76KB
2024-10-25 22:01:51
libucl-dev-0.9.0-r0.apk
81.12KB
2024-10-25 22:01:51
libucl-doc-0.9.0-r0.apk
8.86KB
2024-10-25 22:01:51
libuecc-7-r4.apk
9.22KB
2025-03-03 18:06:10
libuecc-dev-7-r4.apk
4.63KB
2025-03-03 18:06:10
libuninameslist-20230916-r0.apk
376.06KB
2024-10-25 22:01:51
libuninameslist-dev-20230916-r0.apk
3.47KB
2024-10-25 22:01:51
libuninameslist-doc-20230916-r0.apk
2.04KB
2024-10-25 22:01:51
libupstart-2.0.3-r5.apk
59.26KB
2024-10-25 22:01:51
libvalkey-0.2.1-r0.apk
58.96KB
2025-11-17 13:56:39
libvalkey-dev-0.2.1-r0.apk
338.97KB
2025-11-17 13:56:39
libvalkey-tls-0.2.1-r0.apk
6.30KB
2025-11-17 13:56:39
libvdpau-va-gl-0.4.2-r0.apk
56.62KB
2024-10-25 22:01:51
libvisio2svg-0.5.5-r3.apk
14.82KB
2024-10-25 22:01:51
libvisio2svg-dev-0.5.5-r3.apk
2.92KB
2024-10-25 22:01:51
libvisio2svg-utils-0.5.5-r3.apk
115.70KB
2024-10-25 22:01:51
libvmaf-3.0.0-r0.apk
361.74KB
2024-10-25 22:01:51
libvmaf-dev-3.0.0-r0.apk
215.21KB
2024-10-25 22:01:51
libvoikko-4.3.2-r1.apk
121.06KB
2024-10-25 22:01:52
libvoikko-dev-4.3.2-r1.apk
9.91KB
2024-10-25 22:01:52
libvoikko-doc-4.3.2-r1.apk
5.72KB
2024-10-25 22:01:52
libwasmtime-39.0.1-r0.apk
3.93MB
2025-12-08 23:02:28
libwasmtime-static-39.0.1-r0.apk
6.68MB
2025-12-08 23:02:28
libwbxml-0.11.8-r0.apk
75.84KB
2024-10-25 22:01:52
libwbxml-dev-0.11.8-r0.apk
8.96KB
2024-10-25 22:01:52
libwbxml-doc-0.11.8-r0.apk
28.26KB
2024-10-25 22:01:52
libwhich-1.2.0-r0.apk
4.32KB
2024-10-25 22:01:52
libwmiclient-1.3.16-r5.apk
1.46KB
2024-10-25 22:01:52
libwmiclient-dev-1.3.16-r5.apk
1.70KB
2024-10-25 22:01:52
libxml++-5.4.0-r0.apk
62.98KB
2025-02-22 16:39:00
libxml++-dev-5.4.0-r0.apk
29.37KB
2025-02-22 16:39:00
libxo-1.7.5-r0.apk
177.34KB
2025-01-13 00:45:50
libxo-dev-1.7.5-r0.apk
74.07KB
2025-01-13 00:45:50
libxo-doc-1.7.5-r0.apk
62.82KB
2025-01-13 00:45:50
libzrtpcpp-4.7.0-r0.apk
157.40KB
2025-01-04 23:55:19
libzrtpcpp-dev-4.7.0-r0.apk
37.86KB
2025-01-04 23:55:19
libzvbi-0.2.44-r0.apk
217.34KB
2025-03-12 03:07:23
libzvbi-dev-0.2.44-r0.apk
14.31KB
2025-03-12 03:07:23
libzvbi-static-0.2.44-r0.apk
279.67KB
2025-03-12 03:07:23
licenseheaders-0.8.8-r4.apk
17.89KB
2024-10-25 22:01:52
licenseheaders-pyc-0.8.8-r4.apk
18.41KB
2024-10-25 22:01:52
lidarr-3.1.0.4875-r0.apk
20.55MB
2025-12-13 19:30:40
lidarr-openrc-3.1.0.4875-r0.apk
2.04KB
2025-12-13 19:30:40
lima-1.2.1-r1.apk
11.34MB
2025-12-05 00:48:46
lima-bash-completion-1.2.1-r1.apk
6.10KB
2025-12-05 00:48:46
lima-doc-1.2.1-r1.apk
62.28KB
2025-12-05 00:48:46
lima-fish-completion-1.2.1-r1.apk
4.33KB
2025-12-05 00:48:46
lima-guestagent-1.2.1-r1.apk
14.18MB
2025-12-05 00:48:46
lima-zsh-completion-1.2.1-r1.apk
4.04KB
2025-12-05 00:48:46
limkd-0.1.2-r0.apk
88.74KB
2024-10-25 22:01:52
limkd-doc-0.1.2-r0.apk
2.96KB
2024-10-25 22:01:52
limnoria-20240828-r0.apk
1.08MB
2024-10-25 22:01:52
limnoria-doc-20240828-r0.apk
8.40KB
2024-10-25 22:01:52
limnoria-pyc-20240828-r0.apk
1.22MB
2024-10-25 22:01:52
linkchecker-10.6.0-r0.apk
181.10KB
2025-08-10 14:39:51
linkchecker-doc-10.6.0-r0.apk
39.06KB
2025-08-10 14:39:51
linkchecker-pyc-10.6.0-r0.apk
253.67KB
2025-08-10 14:39:51
linkquisition-1.6.1-r10.apk
12.55MB
2025-12-05 00:48:46
linphone-5.3.38-r0.apk
9.02MB
2024-10-25 22:01:53
linphone-dev-5.3.38-r0.apk
249.72KB
2024-10-25 22:01:53
linphone-libs-5.3.38-r0.apk
2.92MB
2024-10-25 22:01:53
linux-apfs-rw-src-0.3.8-r0.apk
197.07KB
2024-10-25 22:01:53
linux-gpib-4.3.7-r0.apk
60.60KB
2025-12-07 01:09:02
linux-gpib-dev-4.3.7-r0.apk
7.67KB
2025-12-07 01:09:02
linux-gpib-doc-4.3.7-r0.apk
326.37KB
2025-12-07 01:09:02
linux-gpib-udev-4.3.7-r0.apk
2.62KB
2025-12-07 01:09:02
linux-timemachine-1.3.2-r0.apk
5.05KB
2024-10-25 22:01:53
linuxkit-1.8.2-r1.apk
14.03MB
2025-12-05 00:48:47
linuxkit-doc-1.8.2-r1.apk
10.27KB
2025-12-05 00:48:47
linuxptp-4.4-r0.apk
1.22KB
2024-11-17 19:29:49
linuxptp-doc-4.4-r0.apk
38.44KB
2024-11-17 19:29:49
linuxptp-hwstamp_ctl-4.4-r0.apk
4.33KB
2024-11-17 19:29:49
linuxptp-nsm-4.4-r0.apk
31.65KB
2024-11-17 19:29:49
linuxptp-phc2sys-4.4-r0.apk
35.63KB
2024-11-17 19:29:49
linuxptp-phc_ctl-4.4-r0.apk
9.45KB
2024-11-17 19:29:49
linuxptp-pmc-4.4-r0.apk
34.63KB
2024-11-17 19:29:49
linuxptp-ptp4l-4.4-r0.apk
77.18KB
2024-11-17 19:29:49
linuxptp-timemaster-4.4-r0.apk
15.70KB
2024-11-17 19:29:49
linuxptp-ts2phc-4.4-r0.apk
35.04KB
2024-11-17 19:29:49
linuxptp-tz2alt-4.4-r0.apk
19.07KB
2024-11-17 19:29:49
linuxwave-0.3.0-r0.apk
182.89KB
2025-05-26 21:25:25
linuxwave-doc-0.3.0-r0.apk
3.26KB
2025-05-26 21:25:25
liquibase-4.9.1-r0.apk
31.63MB
2024-10-25 22:01:54
liquibase-doc-4.9.1-r0.apk
56.89KB
2024-10-25 22:01:54
liquid-dsp-1.5.0-r0.apk
352.53KB
2024-10-25 22:01:54
liquid-dsp-dev-1.5.0-r0.apk
525.69KB
2024-10-25 22:01:54
litehtml-0.9-r2.apk
319.52KB
2025-02-22 16:39:01
litehtml-dev-0.9-r2.apk
41.65KB
2025-02-22 16:39:01
litehtml-static-0.9-r2.apk
511.03KB
2025-02-22 16:39:01
litterbox-1.9-r2.apk
31.56KB
2025-09-13 01:22:42
litterbox-doc-1.9-r2.apk
7.21KB
2025-09-13 01:22:43
lizardfs-3.13.0-r17.apk
106.38KB
2025-06-19 11:45:03
lizardfs-bash-completion-3.13.0-r17.apk
1.89KB
2025-06-19 11:45:03
lizardfs-cgi-3.13.0-r17.apk
31.46KB
2025-06-19 11:45:03
lizardfs-cgiserv-3.13.0-r17.apk
7.37KB
2025-06-19 11:45:03
lizardfs-cgiserv-openrc-3.13.0-r17.apk
1.97KB
2025-06-19 11:45:03
lizardfs-chunkserver-3.13.0-r17.apk
311.46KB
2025-06-19 11:45:03
lizardfs-chunkserver-openrc-3.13.0-r17.apk
1.66KB
2025-06-19 11:45:03
lizardfs-client-3.13.0-r17.apk
1.09MB
2025-06-19 11:45:03
lizardfs-doc-3.13.0-r17.apk
11.45KB
2025-06-19 11:45:03
lizardfs-master-3.13.0-r17.apk
841.97KB
2025-06-19 11:45:03
lizardfs-master-openrc-3.13.0-r17.apk
1.65KB
2025-06-19 11:45:03
lizardfs-metalogger-3.13.0-r17.apk
126.48KB
2025-06-19 11:45:03
lizardfs-metalogger-openrc-3.13.0-r17.apk
1.65KB
2025-06-19 11:45:03
lkrg-0.9.6-r1.apk
104.73KB
2025-01-09 13:44:51
lkrg-doc-0.9.6-r1.apk
21.57KB
2025-01-09 13:44:51
lld-next-22.0.0_pre20251226-r0.apk
7.71KB
2025-12-27 12:35:28
lld-next-dev-22.0.0_pre20251226-r0.apk
18.57KB
2025-12-27 12:35:28
lld-next-libs-22.0.0_pre20251226-r0.apk
1.89MB
2025-12-27 12:35:28
lldap-0.6.1-r0.apk
9.94MB
2025-03-14 19:12:14
lldb-next-22.0.0_pre20251226-r0.apk
6.77MB
2025-12-27 12:35:28
lldb-next-dev-22.0.0_pre20251226-r0.apk
810.03KB
2025-12-27 12:35:28
lldb-next-pyc-22.0.0_pre20251226-r0.apk
316.94KB
2025-12-27 12:35:28
llmnrd-0.7-r1.apk
16.42KB
2024-10-25 22:01:54
llmnrd-doc-0.7-r1.apk
3.03KB
2024-10-25 22:01:54
llmnrd-openrc-0.7-r1.apk
1.89KB
2024-10-25 22:01:54
llvm-next-22.0.0_pre20251226-r0.apk
22.70MB
2025-12-27 12:35:28
llvm-next-dev-22.0.0_pre20251226-r0.apk
9.25MB
2025-12-27 12:35:28
llvm-next-gtest-22.0.0_pre20251226-r0.apk
459.85KB
2025-12-27 12:35:28
llvm-next-libc++-22.0.0_pre20251226-r0.apk
372.63KB
2025-12-27 12:35:28
llvm-next-libc++-dev-22.0.0_pre20251226-r0.apk
1.77MB
2025-12-27 12:35:28
llvm-next-libc++-static-22.0.0_pre20251226-r0.apk
695.43KB
2025-12-27 12:35:28
llvm-next-libgcc-22.0.0_pre20251226-r0.apk
54.66KB
2025-12-27 12:35:28
llvm-next-libgcc-dev-22.0.0_pre20251226-r0.apk
2.52KB
2025-12-27 12:35:28
llvm-next-libs-22.0.0_pre20251226-r0.apk
33.60MB
2025-12-27 12:35:29
llvm-next-libunwind-22.0.0_pre20251226-r0.apk
17.27KB
2025-12-27 12:35:29
llvm-next-libunwind-dev-22.0.0_pre20251226-r0.apk
20.78KB
2025-12-27 12:35:29
llvm-next-libunwind-static-22.0.0_pre20251226-r0.apk
25.66KB
2025-12-27 12:35:29
llvm-next-linker-tools-22.0.0_pre20251226-r0.apk
1.28MB
2025-12-27 12:35:29
llvm-next-lit-22.0.0_pre20251226-r0.apk
96.72KB
2025-12-27 12:35:29
llvm-next-lit-pyc-22.0.0_pre20251226-r0.apk
165.26KB
2025-12-27 12:35:29
llvm-next-offload-22.0.0_pre20251226-r0.apk
241.45KB
2025-12-27 12:35:29
llvm-next-offload-dev-22.0.0_pre20251226-r0.apk
379.10KB
2025-12-27 12:35:29
llvm-next-openmp-22.0.0_pre20251226-r0.apk
615.99KB
2025-12-27 12:35:29
llvm-next-openmp-dev-22.0.0_pre20251226-r0.apk
124.94KB
2025-12-27 12:35:29
llvm-next-polly-22.0.0_pre20251226-r0.apk
2.38MB
2025-12-27 12:35:29
llvm-next-runtime-22.0.0_pre20251226-r0.apk
492.94KB
2025-12-27 12:35:29
llvm-next-static-22.0.0_pre20251226-r0.apk
67.19MB
2025-12-27 12:35:30
llvm-next-test-utils-22.0.0_pre20251226-r0.apk
569.82KB
2025-12-27 12:35:30
lockrun-1.1.3-r1.apk
5.38KB
2024-10-25 22:01:54
log4cpp-1.1.4-r1.apk
70.68KB
2024-10-25 22:01:54
log4cpp-dev-1.1.4-r1.apk
38.89KB
2024-10-25 22:01:54
log4cxx-1.5.0-r0.apk
517.10KB
2026-01-07 02:06:07
log4cxx-dev-1.5.0-r0.apk
149.27KB
2026-01-07 02:06:07
logc-0.5.0-r1.apk
7.96KB
2025-06-13 17:12:50
logc-argp-0.5.0-r1.apk
16.03KB
2025-06-13 17:12:50
logc-config-0.5.0-r1.apk
4.92KB
2025-06-13 17:12:50
logc-czmq-0.1.0-r0.apk
3.91KB
2024-10-25 22:01:54
logc-dev-0.5.0-r1.apk
8.59KB
2025-06-13 17:12:50
logc-libevent-0.1.0-r0.apk
3.31KB
2024-10-25 22:01:54
logc-libs-0.1.0-r0.apk
1.46KB
2024-10-25 22:01:54
logc-libs-dev-0.1.0-r0.apk
5.54KB
2024-10-25 22:01:54
logtop-0.7-r1.apk
13.33KB
2025-08-09 19:28:02
logtop-doc-0.7-r1.apk
2.85KB
2025-08-09 19:28:02
logtop-libs-0.7-r1.apk
14.17KB
2025-08-09 19:28:02
lol-html-1.1.1-r1.apk
432.33KB
2024-10-25 22:01:54
lol-html-dev-1.1.1-r1.apk
6.52KB
2024-10-25 22:01:54
lolcat-1.4-r0.apk
8.86KB
2024-10-25 22:01:54
lomiri-0.5.0-r4.apk
3.91MB
2025-12-18 16:24:20
lomiri-action-api-1.2.1-r0.apk
76.98KB
2025-10-25 23:19:30
lomiri-action-api-dev-1.2.1-r0.apk
4.96KB
2025-10-25 23:19:30
lomiri-api-0.2.3-r0.apk
31.30KB
2025-10-25 23:19:30
lomiri-api-dev-0.2.3-r0.apk
32.13KB
2025-10-25 23:19:30
lomiri-app-launch-0.1.12-r5.apk
324.81KB
2025-12-18 16:24:20
lomiri-app-launch-dev-0.1.12-r5.apk
20.08KB
2025-12-18 16:24:20
lomiri-calculator-app-4.1.0-r0.apk
381.07KB
2025-08-19 23:09:18
lomiri-calculator-app-lang-4.1.0-r0.apk
41.47KB
2025-08-19 23:09:18
lomiri-clock-app-4.1.1-r0.apk
219.71KB
2025-05-10 06:12:17
lomiri-clock-app-lang-4.1.1-r0.apk
456.00KB
2025-05-10 06:12:17
lomiri-content-hub-2.2.1-r0.apk
275.57KB
2025-12-09 13:10:55
lomiri-content-hub-dev-2.2.1-r0.apk
11.20KB
2025-12-09 13:10:55
lomiri-content-hub-doc-2.2.1-r0.apk
1.38MB
2025-12-09 13:10:55
lomiri-content-hub-lang-2.2.1-r0.apk
47.42KB
2025-12-09 13:10:55
lomiri-docviewer-app-3.1.2-r0.apk
221.32KB
2025-08-19 23:09:18
lomiri-docviewer-app-doc-3.1.2-r0.apk
2.02KB
2025-08-19 23:09:18
lomiri-docviewer-app-lang-3.1.2-r0.apk
137.57KB
2025-08-19 23:09:18
lomiri-download-manager-0.3.0-r0.apk
588.25KB
2025-12-09 13:10:55
lomiri-download-manager-dev-0.3.0-r0.apk
16.90KB
2025-12-09 13:10:55
lomiri-download-manager-doc-0.3.0-r0.apk
3.40MB
2025-12-09 13:10:55
lomiri-download-manager-lang-0.3.0-r0.apk
30.12KB
2025-12-09 13:10:55
lomiri-filemanager-app-1.1.4-r0.apk
326.17KB
2025-08-19 23:09:18
lomiri-filemanager-app-lang-1.1.4-r0.apk
179.66KB
2025-08-19 23:09:18
lomiri-gallery-app-3.0.2-r2.apk
3.71MB
2025-08-19 12:09:40
lomiri-gallery-app-lang-3.0.2-r2.apk
106.25KB
2025-08-19 12:09:40
lomiri-history-service-0.6-r20.apk
344.96KB
2025-12-19 13:40:40
lomiri-history-service-dev-0.6-r20.apk
11.12KB
2025-12-19 13:40:40
lomiri-indicator-location-25.4.22-r1.apk
21.07KB
2025-11-17 15:11:09
lomiri-indicator-location-lang-25.4.22-r1.apk
27.26KB
2025-11-17 15:11:09
lomiri-indicator-location-systemd-25.4.22-r1.apk
1.78KB
2025-11-17 15:11:09
lomiri-indicator-network-1.1.2-r0.apk
599.58KB
2025-09-08 04:00:29
lomiri-indicator-network-dev-1.1.2-r0.apk
9.53KB
2025-09-08 04:00:29
lomiri-indicator-network-doc-1.1.2-r0.apk
2.06KB
2025-09-08 04:00:29
lomiri-indicator-network-lang-1.1.2-r0.apk
199.45KB
2025-09-08 04:00:29
lomiri-lang-0.5.0-r4.apk
269.30KB
2025-12-18 16:24:20
lomiri-libusermetrics-1.4.0-r0.apk
210.77KB
2025-12-09 13:10:55
lomiri-libusermetrics-dev-1.4.0-r0.apk
7.85KB
2025-12-09 13:10:55
lomiri-libusermetrics-doc-1.4.0-r0.apk
227.69KB
2025-12-09 13:10:55
lomiri-libusermetrics-lang-1.4.0-r0.apk
45.08KB
2025-12-09 13:10:55
lomiri-location-service-3.3.0-r5.apk
2.04MB
2025-11-17 15:11:09
lomiri-location-service-dev-3.3.0-r5.apk
29.85KB
2025-11-17 15:11:09
lomiri-location-service-doc-3.3.0-r5.apk
2.88KB
2025-11-17 15:11:09
lomiri-location-service-lang-3.3.0-r5.apk
25.79KB
2025-11-17 15:11:09
lomiri-location-service-systemd-3.3.0-r5.apk
2.14KB
2025-11-17 15:11:09
lomiri-notifications-1.3.1-r0.apk
92.11KB
2025-01-10 12:09:21
lomiri-schemas-0.1.9-r0.apk
10.41KB
2025-10-01 20:03:38
lomiri-settings-components-1.1.3-r0.apk
217.88KB
2025-10-25 23:19:30
lomiri-settings-components-lang-1.1.3-r0.apk
107.63KB
2025-10-25 23:19:30
lomiri-sounds-25.01-r0.apk
17.97MB
2025-08-19 23:09:18
lomiri-system-settings-1.3.2-r0.apk
1.03MB
2025-05-10 06:12:17
lomiri-system-settings-lang-1.3.2-r0.apk
846.08KB
2025-05-10 06:12:17
lomiri-telephony-service-0.6.2-r1.apk
0.96MB
2025-12-19 13:40:40
lomiri-telephony-service-lang-0.6.2-r1.apk
108.57KB
2025-12-19 13:40:40
lomiri-terminal-app-2.0.5-r0.apk
63.69KB
2025-05-10 06:12:17
lomiri-terminal-app-doc-2.0.5-r0.apk
2.66KB
2025-05-10 06:12:17
lomiri-terminal-app-lang-2.0.5-r0.apk
91.43KB
2025-05-10 06:12:17
lomiri-thumbnailer-3.1.0-r0.apk
213.54KB
2025-12-09 13:10:55
lomiri-thumbnailer-dev-3.1.0-r0.apk
5.11KB
2025-12-09 13:10:55
lomiri-thumbnailer-doc-3.1.0-r0.apk
123.62KB
2025-12-09 13:10:55
lomiri-trust-store-2.0.2-r14.apk
941.11KB
2025-12-18 16:24:20
lomiri-trust-store-dev-2.0.2-r14.apk
9.13KB
2025-12-18 16:24:20
lomiri-trust-store-lang-2.0.2-r14.apk
27.72KB
2025-12-18 16:24:20
lomiri-ui-extras-0.8.0-r0.apk
248.29KB
2025-12-09 13:10:55
lomiri-ui-extras-lang-0.8.0-r0.apk
52.24KB
2025-12-09 13:10:55
lomiri-ui-toolkit-1.3.5110-r5.apk
1.28MB
2025-12-18 16:24:20
lomiri-ui-toolkit-dev-1.3.5110-r5.apk
167.86KB
2025-12-18 16:24:20
lomiri-ui-toolkit-lang-1.3.5110-r5.apk
96.51KB
2025-12-18 16:24:20
lomiri-url-dispatcher-0.1.4-r0.apk
38.04KB
2025-05-10 06:12:17
lomiri-url-dispatcher-dev-0.1.4-r0.apk
3.31KB
2025-05-10 06:12:17
lomiri-url-dispatcher-lang-0.1.4-r0.apk
25.88KB
2025-05-10 06:12:17
lomiri-weather-app-6.0.2-r0.apk
298.73KB
2025-03-11 14:02:18
lomiri-weather-app-lang-6.0.2-r0.apk
214.03KB
2025-03-11 14:02:18
lotide-0.15.0-r0.apk
3.33MB
2024-10-25 22:01:55
lotide-openrc-0.15.0-r0.apk
3.12KB
2024-10-25 22:01:55
lout-3.42.2-r0.apk
1.38MB
2024-10-25 22:01:55
lout-doc-3.42.2-r0.apk
452.53KB
2024-10-25 22:01:55
lowjs-1.6.2-r2.apk
1.34MB
2024-10-25 22:01:55
lowjs-doc-1.6.2-r2.apk
2.97KB
2024-10-25 22:01:55
lrcalc-2.1-r1.apk
11.42KB
2024-10-25 22:01:55
lrcalc-dev-2.1-r1.apk
11.34KB
2024-10-25 22:01:55
lrcalc-libs-2.1-r1.apk
25.58KB
2024-10-25 22:01:55
lsdvd-0.17-r0.apk
13.40KB
2024-10-25 22:01:55
lsdvd-doc-0.17-r0.apk
2.46KB
2024-10-25 22:01:55
lshell-0.9.18-r12.apk
36.22KB
2025-09-06 19:20:34
lshell-doc-0.9.18-r12.apk
25.11KB
2025-09-06 19:20:34
lshell-pyc-0.9.18-r12.apk
35.05KB
2025-09-06 19:20:34
lsix-1.8.2-r0.apk
6.51KB
2024-10-25 22:01:55
lspmux-0.3.0-r0.apk
972.36KB
2025-11-07 19:28:23
lspmux-doc-0.3.0-r0.apk
6.63KB
2025-11-07 19:28:23
lua-editorconfig-0.3.0-r0.apk
1.20KB
2024-10-25 22:01:55
lua-fn-0.1.0-r0.apk
3.38KB
2024-10-25 22:01:55
lua-inet-0.2.0-r1.apk
9.13KB
2024-10-25 22:01:55
lua-lcurses-9.0.0-r0.apk
1.14KB
2024-10-25 22:01:55
lua-libmodbus-0.6.1-r0.apk
1.18KB
2024-10-25 22:01:55
lua-libmodbus-doc-0.6.1-r0.apk
19.10KB
2024-10-25 22:01:55
lua-linenoise-0.9-r1.apk
1.17KB
2024-10-25 22:01:55
lua-luastatic-0.0.12-r1.apk
1.47KB
2024-10-25 22:01:55
lua-lupa-1.0-r0.apk
19.57KB
2024-10-25 22:01:55
lua-lut-1.2.1-r0.apk
89.26KB
2024-10-25 22:01:55
lua-psl-0.3-r0.apk
1.10KB
2024-10-25 22:01:55
lua-resty-redis-0.29-r0.apk
5.32KB
2024-10-25 22:01:55
lua-resty-upload-0.11-r0.apk
3.62KB
2024-10-25 22:01:55
lua-xml-1.1.3-r2.apk
1.43KB
2024-10-25 22:01:55
lua5.1-lcurses-9.0.0-r0.apk
25.18KB
2024-10-25 22:01:55
lua5.1-libguestfs-1.56.1-r0.apk
81.74KB
2025-07-23 01:16:03
lua5.1-libmodbus-0.6.1-r0.apk
10.30KB
2024-10-25 22:01:55
lua5.1-linenoise-0.9-r1.apk
17.68KB
2024-10-25 22:01:55
lua5.1-luacov-html-1.0.0-r1.apk
412.73KB
2024-10-25 22:01:55
lua5.1-luastatic-0.0.12-r1.apk
77.80KB
2024-10-25 22:01:55
lua5.1-psl-0.3-r0.apk
6.41KB
2024-10-25 22:01:55
lua5.1-ubus-2025.10.17-r0.apk
8.89KB
2025-10-25 15:57:45
lua5.1-xml-1.1.3-r2.apk
22.87KB
2024-10-25 22:01:55
lua5.2-editorconfig-0.3.0-r0.apk
4.51KB
2024-10-25 22:01:55
lua5.2-libmodbus-0.6.1-r0.apk
10.27KB
2024-10-25 22:01:55
lua5.2-linenoise-0.9-r1.apk
17.72KB
2024-10-25 22:01:55
lua5.2-luacov-html-1.0.0-r1.apk
412.75KB
2024-10-25 22:01:55
lua5.2-luastatic-0.0.12-r1.apk
8.94KB
2024-10-25 22:01:55
lua5.2-psl-0.3-r0.apk
6.29KB
2024-10-25 22:01:55
lua5.2-ubus-2025.10.17-r0.apk
8.90KB
2025-10-25 15:57:45
lua5.2-xml-1.1.3-r2.apk
22.72KB
2024-10-25 22:01:55
lua5.3-editorconfig-0.3.0-r0.apk
4.56KB
2024-10-25 22:01:55
lua5.3-linenoise-0.9-r1.apk
17.68KB
2024-10-25 22:01:55
lua5.3-luacov-html-1.0.0-r1.apk
412.77KB
2024-10-25 22:01:55
lua5.3-luastatic-0.0.12-r1.apk
8.96KB
2024-10-25 22:01:55
lua5.3-psl-0.3-r0.apk
6.31KB
2024-10-25 22:01:55
lua5.4-editorconfig-0.3.0-r0.apk
4.56KB
2024-10-25 22:01:55
lua5.4-linenoise-0.9-r1.apk
17.71KB
2024-10-25 22:01:55
lua5.4-luastatic-0.0.12-r1.apk
9.02KB
2024-10-25 22:01:55
luacov-html-1.0.0-r1.apk
1.21KB
2024-10-25 22:01:55
luapak-0.1.0_beta5-r0.apk
35.72KB
2024-10-25 22:01:55
luksmeta-9-r0.apk
13.22KB
2024-10-25 22:01:55
luksmeta-dev-9-r0.apk
3.10KB
2024-10-25 22:01:55
luksmeta-doc-9-r0.apk
5.48KB
2024-10-25 22:01:55
lumina-desktop-1.6.2-r0.apk
1.24KB
2024-10-25 22:01:55
lumina-desktop-archiver-1.6.2-r0.apk
163.70KB
2024-10-25 22:01:55
lumina-desktop-core-1.6.2-r0.apk
8.92MB
2024-10-25 22:01:55
lumina-desktop-coreutils-1.6.2-r0.apk
814.18KB
2024-10-25 22:01:55
lumina-desktop-doc-1.6.2-r0.apk
11.50KB
2024-10-25 22:01:55
lumina-desktop-fileinfo-1.6.2-r0.apk
158.08KB
2024-10-25 22:01:55
lumina-desktop-fm-1.6.2-r0.apk
387.38KB
2024-10-25 22:01:55
lumina-desktop-mediaplayer-1.6.2-r0.apk
197.02KB
2024-10-25 22:01:55
lumina-desktop-photo-1.6.2-r0.apk
123.46KB
2024-10-25 22:01:55
lumina-desktop-screenshot-1.6.2-r0.apk
165.15KB
2024-10-25 22:01:55
lumina-desktop-sudo-1.6.2-r0.apk
94.54KB
2024-10-25 22:01:55
lumina-desktop-textedit-1.6.2-r0.apk
190.46KB
2024-10-25 22:01:55
lumins-0.4.0-r2.apk
659.39KB
2024-10-25 22:01:55
lurk-0.3.11-r0.apk
777.83KB
2026-01-05 20:46:34
lurk-doc-0.3.11-r0.apk
9.72KB
2026-01-05 20:46:34
lutgen-1.0.1-r0.apk
1.81MB
2025-11-20 12:14:34
lutgen-bash-completion-1.0.1-r0.apk
1.74KB
2025-11-20 12:14:34
lutgen-doc-1.0.1-r0.apk
4.45KB
2025-11-20 12:14:34
lutgen-fish-completion-1.0.1-r0.apk
1.79KB
2025-11-20 12:14:34
lutgen-zsh-completion-1.0.1-r0.apk
1.71KB
2025-11-20 12:14:34
lutris-0.5.19-r1.apk
819.20KB
2025-09-16 21:09:01
lutris-doc-0.5.19-r1.apk
2.34KB
2025-09-16 21:09:01
lutris-lang-0.5.19-r1.apk
810.48KB
2025-09-16 21:09:01
lutris-pyc-0.5.19-r1.apk
1.13MB
2025-09-16 21:09:01
lv_font_conv-1.5.3-r0.apk
1.13MB
2025-08-19 22:54:07
lv_font_conv-doc-1.5.3-r0.apk
4.98KB
2025-08-19 22:54:07
lwan-0.1-r2.apk
70.77KB
2024-10-25 22:01:55
lwan-dbg-0.1-r2.apk
396.15KB
2024-10-25 22:01:55
lwan-dev-0.1-r2.apk
10.22KB
2024-10-25 22:01:55
lwan-libs-0.1-r2.apk
69.51KB
2024-10-25 22:01:55
lxappearance-0.6.3-r3.apk
30.32KB
2024-10-25 22:01:55
lxappearance-dev-0.6.3-r3.apk
3.24KB
2024-10-25 22:01:55
lxappearance-doc-0.6.3-r3.apk
2.61KB
2024-10-25 22:01:55
lxappearance-lang-0.6.3-r3.apk
80.50KB
2024-10-25 22:01:55
lxqt-wayland-session-0.3.0-r0.apk
338.99KB
2025-11-21 05:24:46
lxqt-wayland-session-doc-0.3.0-r0.apk
29.01KB
2025-11-21 05:24:46
lychee-0.19.1-r0.apk
3.03MB
2025-06-17 10:59:39
lychee-doc-0.19.1-r0.apk
12.92KB
2025-06-17 10:59:39
lynis-3.1.4-r0.apk
275.68KB
2025-07-29 11:08:09
lynis-bash-completion-3.1.4-r0.apk
2.97KB
2025-07-29 11:08:09
lynis-doc-3.1.4-r0.apk
50.20KB
2025-07-29 11:08:09
lyrics-in-terminal-1.7.0-r0.apk
38.07KB
2025-01-03 12:09:42
m2r2-0.3.4-r0.apk
12.41KB
2026-01-09 18:03:47
m2r2-pyc-0.3.4-r0.apk
15.48KB
2026-01-09 18:03:47
macchina-6.4.0-r0.apk
0.98MB
2025-06-25 06:45:05
macchina-doc-6.4.0-r0.apk
5.66KB
2025-06-25 06:45:05
mage-1.13.0-r23.apk
1.64MB
2025-05-14 03:10:41
magpie-0.9.4-r0.apk
1.79MB
2025-10-16 20:07:34
magpie-dev-0.9.4-r0.apk
246.29KB
2025-10-16 20:07:34
magpie-lang-0.9.4-r0.apk
849.47KB
2025-10-16 20:07:34
mailctl-0.9.2-r0.apk
6.76MB
2024-10-25 22:01:58
mailctl-bash-completion-0.9.2-r0.apk
1.79KB
2024-10-25 22:01:58
mailctl-doc-0.9.2-r0.apk
5.05KB
2024-10-25 22:01:58
mailctl-fish-completion-0.9.2-r0.apk
1.87KB
2024-10-25 22:01:58
mailctl-zsh-completion-0.9.2-r0.apk
1.96KB
2024-10-25 22:01:58
maildir-rank-addr-1.4.1-r1.apk
3.47MB
2025-12-05 00:48:47
maildir-rank-addr-doc-1.4.1-r1.apk
2.28KB
2025-12-05 00:48:47
maildir2rss-0.0.7-r10.apk
3.77MB
2025-12-05 00:48:47
mailsec-check-0_git20210729-r31.apk
2.73MB
2025-12-05 00:48:47
makeclapman-2.4.4-r10.apk
1.41MB
2025-12-05 00:48:47
makeclapman-doc-2.4.4-r10.apk
4.15KB
2025-12-05 00:48:47
makedumpfile-1.7.8-r0.apk
189.19KB
2025-11-07 19:28:23
makedumpfile-doc-1.7.8-r0.apk
23.67KB
2025-11-07 19:28:23
makedumpfile-openrc-1.7.8-r0.apk
3.15KB
2025-11-07 19:28:23
makeself-2.5.0-r0.apk
13.00KB
2024-10-25 22:01:58
malcontent-0.13.1-r0.apk
156.55KB
2025-09-04 00:08:43
malcontent-dev-0.13.1-r0.apk
23.97KB
2025-09-04 00:08:43
malcontent-doc-0.13.1-r0.apk
44.73KB
2025-09-04 00:08:43
mame-0.251-r0.apk
96.23MB
2024-10-25 22:02:00
mame-arcade-0.251-r0.apk
67.50MB
2024-10-25 22:02:01
mame-common-0.251-r0.apk
2.66KB
2024-10-25 22:02:01
mame-data-0.251-r0.apk
19.12MB
2024-10-25 22:02:01
mame-doc-0.251-r0.apk
24.06KB
2024-10-25 22:02:01
mame-lang-0.251-r0.apk
1.43MB
2024-10-25 22:02:01
mame-mess-0.251-r0.apk
51.71MB
2024-10-25 22:02:02
mame-plugins-0.251-r0.apk
166.33KB
2024-10-25 22:02:02
mame-tools-0.251-r0.apk
2.63MB
2024-10-25 22:02:02
mangal-4.0.6-r23.apk
10.66MB
2025-12-05 00:48:47
mangal-bash-completion-4.0.6-r23.apk
4.99KB
2025-12-05 00:48:47
mangal-fish-completion-4.0.6-r23.apk
3.92KB
2025-12-05 00:48:47
mangal-zsh-completion-4.0.6-r23.apk
4.00KB
2025-12-05 00:48:47
mangowc-0.10.10-r0.apk
112.94KB
2026-01-05 00:07:20
mangr0ve-0.1.2-r0.apk
2.81KB
2024-10-25 22:02:02
mangr0ve-doc-0.1.2-r0.apk
14.42KB
2024-10-25 22:02:02
manifest-tool-2.2.0-r6.apk
4.12MB
2025-12-05 00:48:47
mapnik-4.1.4-r0.apk
12.27MB
2025-12-05 00:48:47
mapnik-dev-4.1.4-r0.apk
486.83KB
2025-12-05 00:48:47
mapnik-doc-4.1.4-r0.apk
142.95KB
2025-12-05 00:48:47
mapserver-8.4.1-r1.apk
1.32MB
2025-12-05 00:48:47
mapserver-dev-8.4.1-r1.apk
539.91KB
2025-12-05 00:48:47
marxan-4.0.7-r1.apk
540.70KB
2024-10-25 22:02:03
masky-0.2.0-r2.apk
276.87KB
2025-05-29 15:00:19
masky-pyc-0.2.0-r2.apk
63.66KB
2025-05-29 15:00:19
mat2-0.13.5-r0.apk
35.40KB
2025-09-16 22:00:17
mat2-doc-0.13.5-r0.apk
7.69KB
2025-09-16 22:00:17
mat2-pyc-0.13.5-r0.apk
54.48KB
2025-09-16 22:00:17
materia-20210322-r3.apk
1.67KB
2025-07-10 20:09:27
materia-chromium-20210322-r3.apk
5.69KB
2025-07-10 20:09:27
materia-compact-20210322-r3.apk
1.69KB
2025-07-10 20:09:27
materia-compact-chromium-20210322-r3.apk
5.69KB
2025-07-10 20:09:27
materia-compact-gnome-shell-20210322-r3.apk
29.31KB
2025-07-10 20:09:27
materia-compact-gtk2-20210322-r3.apk
34.59KB
2025-07-10 20:09:27
materia-compact-gtk3-20210322-r3.apk
62.91KB
2025-07-10 20:09:27
materia-compact-gtk4-20210322-r3.apk
42.57KB
2025-07-10 20:09:27
materia-dark-20210322-r3.apk
1.68KB
2025-07-10 20:09:27
materia-dark-chromium-20210322-r3.apk
5.70KB
2025-07-10 20:09:27
materia-dark-compact-20210322-r3.apk
1.70KB
2025-07-10 20:09:27
materia-dark-compact-chromium-20210322-r3.apk
5.72KB
2025-07-10 20:09:27
materia-dark-compact-gnome-shell-20210322-r3.apk
29.29KB
2025-07-10 20:09:27
materia-dark-compact-gtk2-20210322-r3.apk
34.51KB
2025-07-10 20:09:27
materia-dark-compact-gtk3-20210322-r3.apk
39.60KB
2025-07-10 20:09:27
materia-dark-compact-gtk4-20210322-r3.apk
29.40KB
2025-07-10 20:09:27
materia-dark-gnome-shell-20210322-r3.apk
29.20KB
2025-07-10 20:09:27
materia-dark-gtk2-20210322-r3.apk
34.49KB
2025-07-10 20:09:27
materia-dark-gtk3-20210322-r3.apk
39.61KB
2025-07-10 20:09:27
materia-dark-gtk4-20210322-r3.apk
29.41KB
2025-07-10 20:09:27
materia-gnome-shell-20210322-r3.apk
29.21KB
2025-07-10 20:09:27
materia-gtk-theme-20210322-r3.apk
2.86KB
2025-07-10 20:09:27
materia-gtk2-20210322-r3.apk
34.56KB
2025-07-10 20:09:27
materia-gtk3-20210322-r3.apk
63.03KB
2025-07-10 20:09:27
materia-gtk4-20210322-r3.apk
42.68KB
2025-07-10 20:09:27
mattermost-desktop-6.0.1-r0.apk
4.88MB
2025-12-05 18:00:49
mautrix-linkedin-0.2512.0-r0.apk
6.63MB
2025-12-16 20:25:38
mautrix-linkedin-doc-0.2512.0-r0.apk
13.20KB
2025-12-16 20:25:38
mautrix-linkedin-openrc-0.2512.0-r0.apk
1.97KB
2025-12-16 20:25:38
mautrix-zulip-0.2511.0-r1.apk
6.72MB
2025-12-05 00:48:47
mautrix-zulip-doc-0.2511.0-r1.apk
13.21KB
2025-12-05 00:48:47
mautrix-zulip-openrc-0.2511.0-r1.apk
1.96KB
2025-12-05 00:48:47
maxima-5.48.1-r9.apk
25.26MB
2025-08-22 13:16:06
maxima-bash-completion-5.48.1-r9.apk
2.33KB
2025-08-22 13:16:06
maxima-doc-5.48.1-r9.apk
845.69KB
2025-08-22 13:16:06
maxima-doc-extra-5.48.1-r9.apk
9.65MB
2025-08-22 13:16:06
maxima-emacs-5.48.1-r9.apk
110.75KB
2025-08-22 13:16:06
mbpfan-2.4.0-r1.apk
12.76KB
2024-10-25 22:02:04
mbpfan-doc-2.4.0-r1.apk
5.28KB
2024-10-25 22:02:04
mbpfan-openrc-2.4.0-r1.apk
1.65KB
2024-10-25 22:02:04
mbrola-3.3-r0.apk
21.55KB
2024-10-25 22:02:04
mcjoin-2.11-r0.apk
23.26KB
2024-10-25 22:02:04
mcjoin-doc-2.11-r0.apk
53.73KB
2024-10-25 22:02:04
md5ha1-0_git20171202-r1.apk
8.76KB
2024-10-25 22:02:04
mdbook-admonish-1.20.0-r0.apk
1.02MB
2025-06-17 02:18:40
mdbook-alerts-0.8.0-r0.apk
729.56KB
2025-09-26 15:58:48
mdbook-katex-0.9.4-r0.apk
1.32MB
2025-05-17 20:30:18
mdbook-linkcheck-0.7.7-r0.apk
2.65MB
2025-05-16 22:16:57
mdbook-plantuml-0.8.0-r0.apk
886.65KB
2024-10-25 22:02:04
mdnsd-0.12-r1.apk
23.63KB
2024-10-25 22:02:04
mdnsd-doc-0.12-r1.apk
14.36KB
2024-10-25 22:02:04
mdnsd-libs-0.12-r1.apk
17.70KB
2024-10-25 22:02:04
mdnsd-openrc-0.12-r1.apk
2.13KB
2024-10-25 22:02:04
mdnsd-static-0.12-r1.apk
17.41KB
2024-10-25 22:02:04
mdp-1.0.18-r0.apk
16.22KB
2025-07-10 08:38:37
mdp-doc-1.0.18-r0.apk
3.87KB
2025-07-10 08:38:37
mediascanner2-0.118-r4.apk
259.08KB
2025-11-17 15:11:09
mediascanner2-systemd-0.118-r4.apk
1.79KB
2025-11-17 15:11:09
mediastreamer2-5.3.112-r1.apk
359.39KB
2025-10-19 16:36:43
mediastreamer2-dev-5.3.112-r1.apk
109.67KB
2025-10-19 16:36:43
mediastreamer2-doc-5.3.112-r1.apk
107.71KB
2025-10-19 16:36:43
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
11.14KB
2025-03-11 13:19:02
mediastreamer2-plugin-x264-20200722-r6.apk
7.65KB
2024-10-25 22:02:04
meep-1.31.0-r1.apk
642.31KB
2025-08-19 16:05:32
meep-dev-1.31.0-r1.apk
492.44KB
2025-08-19 16:05:32
megatools-1.11.5.20250706-r0.apk
61.42KB
2025-07-30 18:11:13
megatools-bash-completion-1.11.5.20250706-r0.apk
4.09KB
2025-07-30 18:11:13
megatools-doc-1.11.5.20250706-r0.apk
52.15KB
2025-07-30 18:11:13
megazeux-2.93d-r0.apk
1.42MB
2025-06-10 16:49:37
megazeux-doc-2.93d-r0.apk
465.29KB
2025-06-10 16:49:37
melange-0.37.3-r0.apk
12.51MB
2026-01-07 18:37:31
melange-bash-completion-0.37.3-r0.apk
6.65KB
2026-01-07 18:37:31
melange-fish-completion-0.37.3-r0.apk
4.33KB
2026-01-07 18:37:31
melange-zsh-completion-0.37.3-r0.apk
4.04KB
2026-01-07 18:37:31
memdump-1.01-r1.apk
5.70KB
2024-10-25 22:02:04
memdump-doc-1.01-r1.apk
3.12KB
2024-10-25 22:02:04
memray-1.19.1-r0.apk
1.79MB
2025-11-08 17:41:13
memray-pyc-1.19.1-r0.apk
96.35KB
2025-11-08 17:41:13
menumaker-0.99.14-r1.apk
111.02KB
2024-10-25 22:02:04
mergerfs-2.41.1-r0.apk
406.65KB
2025-12-13 18:07:27
mergerfs-doc-2.41.1-r0.apk
3.31KB
2025-12-13 18:07:27
metadata-cleaner-2.5.6-r0.apk
49.12KB
2025-01-31 17:05:57
metadata-cleaner-doc-2.5.6-r0.apk
1.90MB
2025-01-31 17:05:57
metadata-cleaner-lang-2.5.6-r0.apk
65.91KB
2025-01-31 17:05:57
metalang99-1.13.3-r0.apk
54.30KB
2024-10-25 22:02:05
microsocks-1.0.5-r0.apk
7.86KB
2025-12-22 01:53:14
microsocks-doc-1.0.5-r0.apk
3.95KB
2025-12-22 01:53:14
microsocks-openrc-1.0.5-r0.apk
1.83KB
2025-12-22 01:53:14
milkytracker-1.04.00-r2.apk
0.97MB
2024-10-25 22:02:05
milkytracker-doc-1.04.00-r2.apk
50.42KB
2024-10-25 22:02:05
mimalloc1-1.9.4-r0.apk
76.55KB
2025-11-07 19:28:23
mimalloc1-debug-1.9.4-r0.apk
210.32KB
2025-11-07 19:28:23
mimalloc1-dev-1.9.4-r0.apk
512.11KB
2025-11-07 19:28:23
mimalloc1-insecure-1.9.4-r0.apk
70.28KB
2025-11-07 19:28:23
mimedefang-3.6-r1.apk
153.63KB
2025-10-06 04:15:21
mimedefang-doc-3.6-r1.apk
81.05KB
2025-10-06 04:15:21
mimeo-2023-r2.apk
28.15KB
2024-10-25 22:02:05
mimeo-pyc-2023-r2.apk
41.55KB
2024-10-25 22:02:05
mingw-w64-libusb-1.0.29-r0.apk
215.53KB
2025-09-19 07:51:38
minidyndns-1.3.0-r3.apk
11.64KB
2024-10-25 22:02:06
minidyndns-doc-1.3.0-r3.apk
5.11KB
2024-10-25 22:02:06
minidyndns-openrc-1.3.0-r3.apk
1.84KB
2024-10-25 22:02:06
minigalaxy-1.4.0-r0.apk
197.27KB
2025-07-11 06:36:50
minigalaxy-pyc-1.4.0-r0.apk
134.56KB
2025-07-11 06:36:50
minikube-1.34.0-r10.apk
21.28MB
2025-12-05 00:48:48
minikube-bash-completion-1.34.0-r10.apk
10.08KB
2025-12-05 00:48:48
minikube-fish-completion-1.34.0-r10.apk
4.64KB
2025-12-05 00:48:48
minikube-zsh-completion-1.34.0-r10.apk
11.36KB
2025-12-05 00:48:48
minimodem-0.24-r1.apk
20.92KB
2024-10-25 22:02:06
minimodem-doc-0.24-r1.apk
5.20KB
2024-10-25 22:02:06
minisatip-1.3.4-r0.apk
310.84KB
2024-10-25 22:02:06
minisatip-openrc-1.3.4-r0.apk
1.90KB
2024-10-25 22:02:06
mint-themes-2.3.7-r0.apk
3.80MB
2026-01-08 17:48:27
mint-themes-doc-2.3.7-r0.apk
14.75KB
2026-01-08 17:48:27
mint-x-icons-1.7.5-r0.apk
23.21MB
2025-12-01 08:05:40
mint-x-icons-doc-1.7.5-r0.apk
7.87KB
2025-12-01 08:05:40
mint-x-theme-2.3.7-r0.apk
2.76KB
2026-01-08 17:48:27
mint-x-theme-gtk2-2.3.7-r0.apk
452.70KB
2026-01-08 17:48:27
mint-x-theme-gtk3-2.3.7-r0.apk
597.34KB
2026-01-08 17:48:27
mint-x-theme-gtk4-2.3.7-r0.apk
503.11KB
2026-01-08 17:48:27
mint-x-theme-metacity-2.3.7-r0.apk
6.02KB
2026-01-08 17:48:27
mint-x-theme-xfwm4-2.3.7-r0.apk
31.31KB
2026-01-08 17:48:27
mint-y-icons-1.9.1-r0.apk
73.06MB
2026-01-07 02:06:08
mint-y-icons-doc-1.9.1-r0.apk
11.97KB
2026-01-07 02:06:08
mint-y-theme-2.3.7-r0.apk
3.54KB
2026-01-08 17:48:27
mint-y-theme-gtk2-2.3.7-r0.apk
597.09KB
2026-01-08 17:48:27
mint-y-theme-gtk3-2.3.7-r0.apk
1.98MB
2026-01-08 17:48:27
mint-y-theme-gtk4-2.3.7-r0.apk
1.66MB
2026-01-08 17:48:27
mint-y-theme-metacity-2.3.7-r0.apk
54.92KB
2026-01-08 17:48:27
mint-y-theme-xfwm4-2.3.7-r0.apk
205.70KB
2026-01-08 17:48:27
mir-2.25.2-r0.apk
2.34MB
2025-12-18 16:24:20
mir-demos-2.25.2-r0.apk
145.20KB
2025-12-18 16:24:20
mir-dev-2.25.2-r0.apk
8.44MB
2025-12-18 16:24:20
mir-test-tools-2.25.2-r0.apk
1.20MB
2025-12-18 16:24:20
miracle-wm-0.8.3-r0.apk
454.68KB
2025-12-31 18:43:13
miracle-wm-dev-0.8.3-r0.apk
15.49KB
2025-12-31 18:43:13
mirrorhall-0.1.1-r2.apk
26.31KB
2025-08-09 19:28:02
mjpg-streamer-0_git20210220-r2.apk
178.39KB
2025-05-14 18:03:44
mkbrr-1.18.0-r1.apk
4.35MB
2025-12-05 00:48:48
mkcert-1.4.4-r24.apk
1.85MB
2025-12-05 00:48:48
mkdocs-bootstrap-1.1.1-r2.apk
28.52KB
2024-10-25 22:02:09
mkdocs-bootstrap-pyc-1.1.1-r2.apk
1.81KB
2024-10-25 22:02:09
mkdocs-bootstrap386-0.0.2-r5.apk
791.25KB
2024-10-25 22:02:09
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
1.81KB
2024-10-25 22:02:09
mkdocs-bootstrap4-0.1.5-r5.apk
260.05KB
2024-10-25 22:02:09
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
1.81KB
2024-10-25 22:02:09
mkdocs-bootswatch-1.1-r5.apk
538.40KB
2024-10-25 22:02:09
mkdocs-bootswatch-pyc-1.1-r5.apk
4.72KB
2024-10-25 22:02:09
mkdocs-cinder-1.2.0-r5.apk
248.63KB
2024-10-25 22:02:09
mkdocs-cinder-pyc-1.2.0-r5.apk
1.79KB
2024-10-25 22:02:09
mkdocs-cluster-0.0.9-r5.apk
651.14KB
2024-10-25 22:02:09
mkdocs-cluster-pyc-0.0.9-r5.apk
1.81KB
2024-10-25 22:02:09
mkdocs-gitbook-0.0.1-r5.apk
644.13KB
2024-10-25 22:02:09
mkdocs-gitbook-pyc-0.0.1-r5.apk
1.81KB
2024-10-25 22:02:09
mkdocs-ivory-0.4.6-r5.apk
10.93KB
2024-10-25 22:02:09
mkdocs-ivory-pyc-0.4.6-r5.apk
1.80KB
2024-10-25 22:02:09
mkdocs-rtd-dropdown-1.0.2-r5.apk
248.37KB
2024-10-25 22:02:09
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
1.81KB
2024-10-25 22:02:09
mkdocs-windmill-1.0.5-r4.apk
944.06KB
2024-10-25 22:02:09
mkdocs-windmill-pyc-1.0.5-r4.apk
1.81KB
2024-10-25 22:02:09
mkdotenv-0.4.9-r2.apk
973.29KB
2025-12-05 00:48:48
mkg3a-0.5.0-r1.apk
13.82KB
2024-10-25 22:02:09
mkg3a-doc-0.5.0-r1.apk
3.06KB
2024-10-25 22:02:09
mkosi-26-r1.apk
283.05KB
2025-12-27 19:17:25
mkosi-doc-26-r1.apk
74.98KB
2025-12-27 19:17:25
mkosi-pyc-26-r1.apk
438.48KB
2025-12-27 19:17:25
mkosi-sandbox-26-r1.apk
13.58KB
2025-12-27 19:17:25
mkrundir-0.4.0-r1.apk
75.31KB
2024-11-29 02:08:28
mktorrent-borg-0.9.9-r1.apk
9.82KB
2024-10-25 22:02:09
mktorrent-borg-doc-0.9.9-r1.apk
2.49KB
2024-10-25 22:02:09
mlir-next-22.0.0_pre20251226-r0.apk
20.05MB
2025-12-27 12:35:30
mlir-next-dev-22.0.0_pre20251226-r0.apk
7.55MB
2025-12-27 12:35:30
mlir-next-libs-22.0.0_pre20251226-r0.apk
19.88MB
2025-12-27 12:35:30
mlir-next-static-22.0.0_pre20251226-r0.apk
50.69MB
2025-12-27 12:35:31
mlxl-0.1-r0.apk
6.01KB
2024-10-25 22:02:09
mm-1.4.2-r1.apk
7.88KB
2024-10-25 22:02:09
mm-common-1.0.7-r0.apk
414.17KB
2025-06-27 19:39:50
mm-common-doc-1.0.7-r0.apk
33.49KB
2025-06-27 19:39:50
mm-dev-1.4.2-r1.apk
13.25KB
2024-10-25 22:02:09
mm-doc-1.4.2-r1.apk
14.41KB
2024-10-25 22:02:09
mmar-0.2.5-r1.apk
2.68MB
2025-05-14 03:10:43
mmix-0_git20221025-r0.apk
156.93KB
2024-10-25 22:02:09
mml-1.0.0-r1.apk
875.27KB
2025-09-30 03:40:58
mml-bash-completion-1.0.0-r1.apk
2.26KB
2025-09-30 03:40:58
mml-doc-1.0.0-r1.apk
3.79KB
2025-09-30 03:40:58
mml-fish-completion-1.0.0-r1.apk
2.23KB
2025-09-30 03:40:58
mml-zsh-completion-1.0.0-r1.apk
2.78KB
2025-09-30 03:40:58
mnamer-2.5.5-r1.apk
31.71KB
2024-10-25 22:02:09
mnamer-pyc-2.5.5-r1.apk
60.48KB
2024-10-25 22:02:09
mnemosyne-2.10.1-r1.apk
607.10KB
2024-10-25 22:02:09
mnemosyne-lang-2.10.1-r1.apk
438.60KB
2024-10-25 22:02:09
mnemosyne-pyc-2.10.1-r1.apk
627.85KB
2024-10-25 22:02:09
mobpass-0.2-r6.apk
18.04KB
2024-10-25 22:02:09
mobpass-pyc-0.2-r6.apk
5.24KB
2024-10-25 22:02:09
mobroute-0.10.0-r5.apk
4.73MB
2025-12-05 00:48:48
mobroute-doc-0.10.0-r5.apk
1.34MB
2025-12-05 00:48:48
modem-manager-gui-0.0.20-r0.apk
343.03KB
2024-10-25 22:02:09
modem-manager-gui-doc-0.0.20-r0.apk
3.94MB
2024-10-25 22:02:09
modem-manager-gui-lang-0.0.20-r0.apk
129.27KB
2024-10-25 22:02:09
mods-1.8.1-r3.apk
10.60MB
2025-12-05 00:48:48
mods-doc-1.8.1-r3.apk
2.25KB
2025-12-05 00:48:48
moe-1.14-r0.apk
104.35KB
2024-10-25 22:02:09
moe-doc-1.14-r0.apk
19.00KB
2024-10-25 22:02:09
moka-icon-theme-5.4.0-r2.apk
113.74MB
2024-10-25 22:02:11
monetdb-11.33.11-r4.apk
2.37MB
2024-10-25 22:02:11
monetdb-dev-11.33.11-r4.apk
77.10KB
2024-10-25 22:02:11
monetdb-doc-11.33.11-r4.apk
320.93KB
2024-10-25 22:02:11
mongo-cxx-driver-3.8.0-r0.apk
177.05KB
2024-10-25 22:02:11
mongo-cxx-driver-dev-3.8.0-r0.apk
88.88KB
2024-10-25 22:02:11
monopd-0.10.4-r0.apk
90.55KB
2025-01-11 13:13:19
monopd-openrc-0.10.4-r0.apk
1.69KB
2025-01-11 13:13:19
moon-buggy-1.0.51-r1.apk
35.95KB
2024-10-25 22:02:12
moon-buggy-doc-1.0.51-r1.apk
7.13KB
2024-10-25 22:02:12
moosefs-4.56.6-r2.apk
264.37KB
2025-06-19 11:45:03
moosefs-cgi-4.56.6-r2.apk
121.18KB
2025-06-19 11:45:03
moosefs-cgiserv-4.56.6-r2.apk
7.82KB
2025-06-19 11:45:03
moosefs-cgiserv-openrc-4.56.6-r2.apk
1.99KB
2025-06-19 11:45:03
moosefs-chunkserver-4.56.6-r2.apk
201.53KB
2025-06-19 11:45:03
moosefs-chunkserver-openrc-4.56.6-r2.apk
1.68KB
2025-06-19 11:45:03
moosefs-client-4.56.6-r2.apk
636.28KB
2025-06-19 11:45:03
moosefs-doc-4.56.6-r2.apk
95.17KB
2025-06-19 11:45:03
moosefs-master-4.56.6-r2.apk
364.31KB
2025-06-19 11:45:03
moosefs-master-openrc-4.56.6-r2.apk
1.67KB
2025-06-19 11:45:03
moosefs-metalogger-4.56.6-r2.apk
39.17KB
2025-06-19 11:45:03
moosefs-metalogger-openrc-4.56.6-r2.apk
1.67KB
2025-06-19 11:45:03
moosefs-static-4.56.6-r2.apk
696.96KB
2025-06-19 11:45:03
morph-browser-1.99.1-r0.apk
534.50KB
2025-12-09 13:10:55
morph-browser-lang-1.99.1-r0.apk
343.13KB
2025-12-09 13:10:55
motion-4.7.1-r0.apk
142.47KB
2025-09-28 00:15:46
motion-doc-4.7.1-r0.apk
139.64KB
2025-09-28 00:15:46
motion-lang-4.7.1-r0.apk
470.79KB
2025-09-28 00:15:46
motion-openrc-4.7.1-r0.apk
2.22KB
2025-09-28 00:15:46
mp3val-0.1.8-r1.apk
12.98KB
2024-10-25 22:02:13
mpdcron-0.3-r1.apk
99.11KB
2024-10-25 22:02:13
mpdcron-dev-0.3-r1.apk
55.23KB
2024-10-25 22:02:13
mpdcron-doc-0.3-r1.apk
13.37KB
2024-10-25 22:02:13
mpdcron-zsh-completion-0.3-r1.apk
2.88KB
2024-10-25 22:02:13
mpdris2-0.9.1-r3.apk
15.19KB
2024-10-25 22:02:13
mpdris2-doc-0.9.1-r3.apk
14.87KB
2024-10-25 22:02:13
mpdris2-lang-0.9.1-r3.apk
2.33KB
2024-10-25 22:02:13
mpv-sponsorblock-2.2.0-r0.apk
1.52MB
2025-06-16 18:16:06
mqtt2prometheus-0.1.7-r21.apk
4.66MB
2025-12-05 00:48:48
mrsh-0_git20210518-r1.apk
5.69KB
2024-10-25 22:02:13
mrsh-dbg-0_git20210518-r1.apk
205.44KB
2024-10-25 22:02:13
mrsh-dev-0_git20210518-r1.apk
9.99KB
2024-10-25 22:02:13
mrsh-libs-0_git20210518-r1.apk
59.32KB
2024-10-25 22:02:13
msgpuck-2.0-r1.apk
1.18KB
2024-10-25 22:02:13
msgpuck-dev-2.0-r1.apk
22.25KB
2024-10-25 22:02:13
msgpuck-doc-2.0-r1.apk
7.32KB
2024-10-25 22:02:13
msh-2.5.0-r17.apk
3.05MB
2025-12-05 00:48:48
msh-openrc-2.5.0-r17.apk
1.99KB
2025-12-05 00:48:48
mspdebug-0.25-r1.apk
202.20KB
2024-10-25 22:02:13
mspdebug-doc-0.25-r1.apk
14.21KB
2024-10-25 22:02:13
msr-tools-1.3-r1.apk
9.86KB
2024-10-25 22:02:13
mstflint-4.26.0.1-r0.apk
4.06MB
2024-10-25 22:02:13
mstflint-doc-4.26.0.1-r0.apk
17.96KB
2024-10-25 22:02:13
mtail-3.2.26-r1.apk
14.11MB
2025-12-05 00:48:48
mtail-openrc-3.2.26-r1.apk
1.98KB
2025-12-05 00:48:48
mtg-2.1.7-r26.apk
4.72MB
2025-12-05 00:48:48
mtg-openrc-2.1.7-r26.apk
1.87KB
2025-12-05 00:48:48
muse-4.2.1-r2.apk
6.09MB
2025-05-14 03:10:43
muse-doc-4.2.1-r2.apk
4.11MB
2025-05-14 03:10:43
musikcube-3.0.5-r0.apk
2.25MB
2025-09-28 00:15:46
musikcube-dev-3.0.5-r0.apk
19.10KB
2025-09-28 00:15:46
musikcube-plugin-all-3.0.5-r0.apk
1.34KB
2025-09-28 00:15:46
musikcube-plugin-httpdatastream-3.0.5-r0.apk
78.58KB
2025-09-28 00:15:46
musikcube-plugin-mpris-3.0.5-r0.apk
20.81KB
2025-09-28 00:15:46
musikcube-plugin-openmpt-3.0.5-r0.apk
31.08KB
2025-09-28 00:15:46
musikcube-plugin-server-3.0.5-r0.apk
376.58KB
2025-09-28 00:15:46
musikcube-plugin-stockencoders-3.0.5-r0.apk
19.63KB
2025-09-28 00:15:46
musikcube-plugin-supereqdsp-3.0.5-r0.apk
26.85KB
2025-09-28 00:15:46
musikcube-plugin-taglibreader-3.0.5-r0.apk
35.30KB
2025-09-28 00:15:46
mxclient-0_git20211002-r1.apk
76.33KB
2024-10-25 22:02:13
n30f-2.0-r3.apk
6.86KB
2024-10-25 22:02:13
naabu-2.3.7-r1.apk
12.10MB
2025-12-05 00:48:48
naabu-doc-2.3.7-r1.apk
2.28KB
2025-12-05 00:48:48
nano-hare-0_git20231021-r0.apk
2.18KB
2024-10-25 22:02:13
nautilus-python-4.0.1-r0.apk
9.29KB
2025-10-13 00:54:36
nautilus-python-dev-4.0.1-r0.apk
1.71KB
2025-10-13 00:54:36
nautilus-python-doc-4.0.1-r0.apk
4.24KB
2025-10-13 00:54:36
nb-7.19.1-r0.apk
152.13KB
2025-05-25 01:10:10
nb-bash-completion-7.19.1-r0.apk
2.98KB
2025-05-25 01:10:10
nb-doc-7.19.1-r0.apk
77.47KB
2025-05-25 01:10:10
nb-fish-completion-7.19.1-r0.apk
2.80KB
2025-05-25 01:10:10
nb-full-7.19.1-r0.apk
1.30KB
2025-05-25 01:10:10
nb-zsh-completion-7.19.1-r0.apk
2.95KB
2025-05-25 01:10:10
nbsdgames-5-r0.apk
100.55KB
2024-10-25 22:02:13
nbsdgames-doc-5-r0.apk
9.39KB
2024-10-25 22:02:13
ndpi-4.10-r0.apk
1.31MB
2024-10-25 22:02:13
ndpi-dev-4.10-r0.apk
879.22KB
2024-10-25 22:02:13
neard-0.19-r0.apk
133.43KB
2024-10-25 22:02:13
neard-dev-0.19-r0.apk
11.09KB
2024-10-25 22:02:13
neard-doc-0.19-r0.apk
5.61KB
2024-10-25 22:02:13
neard-openrc-0.19-r0.apk
1.71KB
2024-10-25 22:02:13
neko-2.3.0-r0.apk
436.42KB
2024-11-21 04:45:48
neko-dev-2.3.0-r0.apk
10.39KB
2024-11-21 04:45:48
neko-doc-2.3.0-r0.apk
19.92KB
2024-11-21 04:45:48
nemo-gtkhash-plugin-1.5-r0.apk
23.10KB
2024-10-25 22:02:13
neo4j-client-2.2.0-r3.apk
29.12KB
2024-10-25 22:02:13
neo4j-client-doc-2.2.0-r3.apk
5.35KB
2024-10-25 22:02:13
neocmakelsp-0.9.1-r0.apk
1.68MB
2026-01-10 08:58:29
neocmakelsp-bash-completion-0.9.1-r0.apk
1.98KB
2026-01-10 08:58:29
neocmakelsp-doc-0.9.1-r0.apk
5.82KB
2026-01-10 08:58:29
neocmakelsp-fish-completion-0.9.1-r0.apk
1.61KB
2026-01-10 08:58:29
neocmakelsp-zsh-completion-0.9.1-r0.apk
1.98KB
2026-01-10 08:58:29
neofetch-7.1.0-r2.apk
85.65KB
2024-11-07 13:08:20
neofetch-doc-7.1.0-r2.apk
6.18KB
2024-11-07 13:08:20
net-predictable-1.5.1-r5.apk
0.97MB
2025-12-05 00:48:48
net-predictable-doc-1.5.1-r5.apk
2.25KB
2025-12-05 00:48:48
netdiscover-0.21-r0.apk
459.04KB
2025-08-15 15:46:24
netdiscover-doc-0.21-r0.apk
4.20KB
2025-08-15 15:46:24
netscanner-0.5.1-r1.apk
3.55MB
2024-10-25 22:02:14
netscanner-doc-0.5.1-r1.apk
3.33KB
2024-10-25 22:02:14
netsed-1.4-r0.apk
9.76KB
2025-08-18 13:15:26
networkmanager-dmenu-2.6.1-r1.apk
13.80KB
2025-10-13 19:13:09
networkmanager-dmenu-doc-2.6.1-r1.apk
6.79KB
2025-10-13 19:13:09
newlib-esp-0_git20240109-r0.apk
1.52KB
2024-10-25 22:02:14
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk
4.29MB
2024-10-25 22:02:14
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk
4.33MB
2024-10-25 22:02:14
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk
4.29MB
2024-10-25 22:02:14
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk
4.46MB
2024-10-25 22:02:14
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk
1.06MB
2024-10-25 22:02:14
newsyslog-1.2.0.91-r1.apk
17.73KB
2024-10-25 22:02:14
newsyslog-doc-1.2.0.91-r1.apk
23.96KB
2024-10-25 22:02:14
nextpnr-0.7-r0.apk
1.45KB
2024-10-25 22:02:14
nextpnr-ecp5-0.7-r0.apk
25.43MB
2024-10-25 22:02:14
nextpnr-generic-0.7-r0.apk
743.51KB
2024-10-25 22:02:14
nextpnr-gowin-0.7-r0.apk
1.47MB
2024-10-25 22:02:14
nextpnr-ice40-0.7-r0.apk
68.56MB
2024-10-25 22:02:16
nfcd-1.2.4-r0.apk
335.50KB
2025-12-31 18:43:13
nfcd-dev-1.2.4-r0.apk
24.53KB
2025-12-31 18:43:13
nfcd-systemd-1.2.4-r0.apk
1.82KB
2025-12-31 18:43:13
nfoview-2.1-r0.apk
38.67KB
2025-04-14 00:31:55
nfoview-doc-2.1-r0.apk
8.00KB
2025-04-14 00:31:55
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
712.72KB
2024-10-25 22:02:16
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
21.27KB
2024-10-25 22:02:16
ngs-0.2.14-r0.apk
292.67KB
2024-10-25 22:02:16
ngs-aws-0.2.14-r0.apk
32.68KB
2024-10-25 22:02:16
ngs-vim-0.2.14-r0.apk
4.89KB
2024-10-25 22:02:16
nicotine-plus-3.3.10-r0.apk
1.56MB
2025-05-01 21:06:29
nicotine-plus-doc-3.3.10-r0.apk
2.58KB
2025-05-01 21:06:29
nicotine-plus-lang-3.3.10-r0.apk
757.04KB
2025-05-01 21:06:29
nicotine-plus-pyc-3.3.10-r0.apk
788.86KB
2025-05-01 21:06:29
nitro-2.7_beta8-r2.apk
503.39KB
2024-10-25 22:02:16
nitro-dev-2.7_beta8-r2.apk
189.98KB
2024-10-25 22:02:16
nitro-init-0.6-r0.apk
21.36KB
2025-12-17 01:50:20
nitro-init-doc-0.6-r0.apk
4.60KB
2025-12-17 01:50:20
nkk-0_git20221010-r0.apk
15.37KB
2024-10-25 22:02:16
nkk-dev-0_git20221010-r0.apk
2.91KB
2024-10-25 22:02:16
nkk-doc-0_git20221010-r0.apk
6.97KB
2024-10-25 22:02:16
nm-tray-0.5.1-r0.apk
101.34KB
2025-09-11 20:35:17
nm-tray-lang-0.5.1-r0.apk
27.25KB
2025-09-11 20:35:17
nmap-parse-output-1.5.1-r1.apk
20.49KB
2025-05-29 15:00:19
nmap-parse-output-bash-completion-1.5.1-r1.apk
1.98KB
2025-05-29 15:00:19
nmap-parse-output-doc-1.5.1-r1.apk
807.69KB
2025-05-29 15:00:19
noblenote-1.2.1-r1.apk
399.79KB
2024-10-25 22:02:16
node-libpg-query-13.1.2-r5.apk
17.57KB
2024-10-25 22:02:16
noggin-0.1-r23.apk
1.40MB
2025-12-05 00:48:49
noggin-doc-0.1-r23.apk
2.92KB
2025-12-05 00:48:49
noggin-model-0.1-r0.apk
11.99MB
2024-10-25 22:02:16
noggin-model-lightweight-0.1-r0.apk
1.68MB
2024-10-25 22:02:16
noice-0.8-r1.apk
9.57KB
2024-10-25 22:02:16
noice-doc-0.8-r1.apk
3.36KB
2024-10-25 22:02:16
nom-2.8.0-r8.apk
7.20MB
2025-12-05 00:48:49
nom-doc-2.8.0-r8.apk
4.02KB
2025-12-05 00:48:49
nomadnet-0.8.0-r0.apk
143.29KB
2025-09-24 01:32:15
nomadnet-pyc-0.8.0-r0.apk
284.64KB
2025-09-24 01:32:15
normaliz-3.10.4-r3.apk
41.83KB
2025-11-26 10:24:44
normaliz-dev-3.10.4-r3.apk
72.57KB
2025-11-26 10:24:44
normaliz-libs-3.10.4-r3.apk
2.78MB
2025-11-26 10:24:44
notification-daemon-3.20.0-r1.apk
58.37KB
2025-07-02 01:41:34
nrf5-sdk-17.1.0-r0.apk
46.96MB
2025-08-19 22:54:08
nrf5-sdk-doc-17.1.0-r0.apk
3.63KB
2025-08-19 22:54:08
nsh-0.4.2-r1.apk
612.76KB
2024-10-25 22:02:17
nsh-dbg-0.4.2-r1.apk
3.37MB
2024-10-25 22:02:17
nsnake-3.0.0-r0.apk
9.57KB
2024-10-25 22:02:17
nsnake-doc-3.0.0-r0.apk
2.63KB
2024-10-25 22:02:17
nsq-1.3.0-r10.apk
26.41MB
2025-05-14 03:10:44
ntpd-rs-1.6.2-r2.apk
4.03MB
2025-12-18 21:45:51
ntpd-rs-doc-1.6.2-r2.apk
24.20KB
2025-12-18 21:45:51
ntpd-rs-openrc-1.6.2-r2.apk
1.94KB
2025-12-18 21:45:51
nuclei-3.6.2-r0.apk
40.05MB
2026-01-02 22:13:44
nuclei-doc-3.6.2-r0.apk
2.27KB
2026-01-02 22:13:44
nuklear-4.12.0-r0.apk
219.84KB
2024-10-25 22:02:17
nuklear-doc-4.12.0-r0.apk
42.44KB
2024-10-25 22:02:17
nullmailer-2.2-r4.apk
122.30KB
2024-10-25 22:02:17
nullmailer-doc-2.2-r4.apk
10.22KB
2024-10-25 22:02:17
nullmailer-openrc-2.2-r4.apk
1.60KB
2024-10-25 22:02:17
numbat-1.16.0-r0.apk
1.91MB
2025-08-19 16:10:29
numbat-doc-1.16.0-r0.apk
31.95KB
2025-08-19 16:10:29
nuzzle-1.6-r0.apk
11.68KB
2025-01-17 17:06:48
nuzzle-doc-1.6-r0.apk
3.20KB
2025-01-17 17:06:48
nvidia-src-575.64.05-r0.apk
17.71MB
2025-07-23 01:34:52
nvim-cmp-0.0.0_git20221011-r1.apk
54.84KB
2024-10-25 22:02:18
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
7.81KB
2024-10-25 22:02:18
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
4.15KB
2024-10-25 22:02:18
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
3.32KB
2024-10-25 22:02:18
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
1.79KB
2024-10-25 22:02:18
nvim-cmp-doc-0.0.0_git20221011-r1.apk
10.46KB
2024-10-25 22:02:18
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
3.48KB
2024-10-25 22:02:18
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2.61KB
2024-10-25 22:02:18
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
3.52KB
2024-10-25 22:02:18
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2.04KB
2024-10-25 22:02:18
nvim-cmp-path-0.0.0_git20221002-r1.apk
3.77KB
2024-10-25 22:02:18
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
1.97KB
2024-10-25 22:02:18
nvimpager-0.12.0-r0.apk
12.59KB
2024-10-25 22:02:18
nvimpager-doc-0.12.0-r0.apk
4.43KB
2024-10-25 22:02:18
nvimpager-zsh-completion-0.12.0-r0.apk
1.83KB
2024-10-25 22:02:18
nvtop-3.2.0-r0.apk
62.08KB
2025-04-30 02:39:45
nvtop-doc-3.2.0-r0.apk
3.53KB
2025-04-30 02:39:45
nwg-bar-0.1.6-r15.apk
1.64MB
2025-12-05 00:48:49
nwg-displays-0.3.26-r0.apk
26.66KB
2025-08-28 23:04:15
nwg-displays-pyc-0.3.26-r0.apk
36.05KB
2025-08-28 23:04:15
nwg-dock-0.4.3-r5.apk
1.81MB
2025-12-05 00:48:49
nwg-look-1.0.6-r1.apk
1.47MB
2025-12-05 00:48:49
nwg-look-doc-1.0.6-r1.apk
4.16KB
2025-12-05 00:48:49
nwg-panel-0.10.13-r0.apk
288.04KB
2025-11-28 15:29:43
nwg-panel-doc-0.10.13-r0.apk
4.44KB
2025-11-28 15:29:43
nwg-panel-pyc-0.10.13-r0.apk
269.72KB
2025-11-28 15:29:43
nwipe-0.39-r0.apk
270.09KB
2025-12-05 04:57:21
nwipe-doc-0.39-r0.apk
3.80KB
2025-12-05 04:57:21
nymphcast-mediaserver-0.1-r4.apk
69.98KB
2025-08-29 00:07:48
nymphcast-mediaserver-nftables-0.1-r4.apk
1.70KB
2025-08-29 00:07:48
nyuu-0.4.2-r1.apk
1.23MB
2025-12-29 17:46:32
nzbget-25.4-r0.apk
5.03MB
2025-11-17 19:13:25
nzbget-openrc-25.4-r0.apk
2.08KB
2025-11-17 19:13:25
oauth2-proxy-7.11.0-r4.apk
9.17MB
2025-12-05 00:48:49
oauth2-proxy-openrc-7.11.0-r4.apk
2.11KB
2025-12-05 00:48:49
objconv-2.52_git20210213-r2.apk
250.64KB
2024-10-25 22:02:18
oblibs-0.3.4.0-r0.apk
32.55KB
2025-06-02 06:03:37
oblibs-dbg-0.3.4.0-r0.apk
99.14KB
2025-06-02 06:03:37
oblibs-dev-0.3.4.0-r0.apk
316.86KB
2025-06-02 06:03:37
obnc-0.17.2-r0.apk
139.08KB
2025-05-26 02:37:21
obnc-doc-0.17.2-r0.apk
33.32KB
2025-05-26 02:37:21
ocaml-camomile-1.0.2-r3.apk
1.31MB
2024-10-25 22:02:19
ocaml-camomile-data-1.0.2-r3.apk
5.10MB
2024-10-25 22:02:19
ocaml-camomile-dev-1.0.2-r3.apk
2.49MB
2024-10-25 22:02:19
ocaml-charinfo_width-1.1.0-r3.apk
104.37KB
2024-10-25 22:02:19
ocaml-charinfo_width-dev-1.1.0-r3.apk
190.45KB
2024-10-25 22:02:19
ocaml-fileutils-0.6.4-r2.apk
312.72KB
2024-10-25 22:02:20
ocaml-fileutils-dev-0.6.4-r2.apk
606.07KB
2024-10-25 22:02:20
ocaml-fileutils-doc-0.6.4-r2.apk
15.80KB
2024-10-25 22:02:20
ocaml-gettext-0.4.2-r3.apk
3.43MB
2024-10-25 22:02:20
ocaml-gettext-dev-0.4.2-r3.apk
734.76KB
2024-10-25 22:02:20
ocaml-gettext-doc-0.4.2-r3.apk
19.19KB
2024-10-25 22:02:20
ocaml-lambda-term-3.2.0-r4.apk
3.44MB
2024-10-25 22:02:21
ocaml-lambda-term-dev-3.2.0-r4.apk
3.83MB
2024-10-25 22:02:21
ocaml-lambda-term-doc-3.2.0-r4.apk
8.81KB
2024-10-25 22:02:21
ocaml-libvirt-0.6.1.7-r0.apk
175.45KB
2024-10-25 22:02:21
ocaml-libvirt-dev-0.6.1.7-r0.apk
94.18KB
2024-10-25 22:02:21
ocaml-libvirt-doc-0.6.1.7-r0.apk
13.73KB
2024-10-25 22:02:21
ocaml-mew-0.1.0-r3.apk
70.38KB
2024-10-25 22:02:21
ocaml-mew-dev-0.1.0-r3.apk
97.73KB
2024-10-25 22:02:21
ocaml-mew_vi-0.5.0-r3.apk
183.83KB
2024-10-25 22:02:21
ocaml-mew_vi-dev-0.5.0-r3.apk
295.81KB
2024-10-25 22:02:21
ocaml-mqtt-0.2.2-r0.apk
156.72KB
2024-10-25 22:02:21
ocaml-mqtt-dev-0.2.2-r0.apk
258.08KB
2024-10-25 22:02:21
ocaml-obuild-0.1.11-r0.apk
1.08MB
2024-10-25 22:02:21
ocaml-omake-0.10.6-r0.apk
1.64MB
2024-10-25 22:02:22
ocaml-omake-doc-0.10.6-r0.apk
8.04KB
2024-10-25 22:02:22
ocaml-ptmap-2.0.5-r3.apk
54.35KB
2024-10-25 22:02:22
ocaml-ptmap-dev-2.0.5-r3.apk
94.89KB
2024-10-25 22:02:22
ocaml-qcheck-0.18.1-r3.apk
669.67KB
2024-10-25 22:02:22
ocaml-qcheck-dev-0.18.1-r3.apk
1.34MB
2024-10-25 22:02:22
ocaml-qtest-2.11.2-r3.apk
331.20KB
2024-10-25 22:02:22
ocaml-qtest-dev-2.11.2-r3.apk
3.65KB
2024-10-25 22:02:22
ocaml-reason-3.8.2-r2.apk
15.26MB
2025-10-25 08:08:20
ocaml-reason-dev-3.8.2-r2.apk
28.66MB
2025-10-25 08:08:21
ocaml-sha-1.15.4-r0.apk
62.36KB
2024-10-25 22:02:23
ocaml-sha-dev-1.15.4-r0.apk
231.40KB
2024-10-25 22:02:23
ocaml-trie-1.0.0-r2.apk
16.06KB
2024-10-25 22:02:24
ocaml-trie-dev-1.0.0-r2.apk
25.74KB
2024-10-25 22:02:24
ocaml-utop-2.9.1-r4.apk
349.23KB
2024-10-25 22:02:24
ocaml-utop-dev-2.9.1-r4.apk
762.79KB
2024-10-25 22:02:24
ocaml-zed-3.1.0-r3.apk
518.48KB
2024-10-25 22:02:24
ocaml-zed-dev-3.1.0-r3.apk
1.13MB
2024-10-25 22:02:24
ocaml5-llvm-next-22.0.0_pre20251226-r0.apk
676.43KB
2025-12-27 12:35:31
ocfs2-tools-1.8.7-r4.apk
1.11MB
2024-10-25 22:02:25
ocfs2-tools-dev-1.8.7-r4.apk
44.95KB
2024-10-25 22:02:25
ocfs2-tools-doc-1.8.7-r4.apk
69.35KB
2024-10-25 22:02:25
octoprint-1.10.3-r0.apk
3.03MB
2024-12-26 00:04:07
octoprint-creality2xfix-0.0.4-r2.apk
4.71KB
2024-10-25 22:02:25
octoprint-creality2xfix-pyc-0.0.4-r2.apk
3.33KB
2024-10-25 22:02:25
octoprint-filecheck-2025.7.23-r0.apk
28.01KB
2026-01-04 16:25:58
octoprint-filecheck-pyc-2025.7.23-r0.apk
11.67KB
2026-01-04 16:25:58
octoprint-firmwarecheck-2025.7.23-r0.apk
28.74KB
2026-01-04 16:25:58
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk
17.50KB
2026-01-04 16:25:58
octoprint-openrc-1.10.3-r0.apk
1.71KB
2024-12-26 00:04:07
octoprint-pisupport-2025.7.23-r0.apk
31.42KB
2026-01-04 16:25:58
octoprint-pisupport-pyc-2025.7.23-r0.apk
14.74KB
2026-01-04 16:25:58
octoprint-pyc-1.10.3-r0.apk
1.24MB
2024-12-26 00:04:08
odin-0.2026.01-r0.apk
3.18MB
2026-01-07 12:48:50
odin-doc-0.2026.01-r0.apk
4.49KB
2026-01-07 12:48:50
odin-vendor-0.2026.01-r0.apk
3.87MB
2026-01-07 12:48:50
odyssey-1.3-r3.apk
124.61KB
2024-10-25 22:02:25
odyssey-openrc-1.3-r3.apk
2.02KB
2024-10-25 22:02:25
oha-1.12.1-r0.apk
3.19MB
2025-12-20 02:03:06
oha-doc-1.12.1-r0.apk
2.24KB
2025-12-20 02:03:06
oils-for-unix-0.35.0-r0.apk
648.08KB
2025-09-29 01:29:26
oils-for-unix-bash-0.35.0-r0.apk
1.68KB
2025-09-29 01:29:26
oils-for-unix-binsh-0.35.0-r0.apk
1.52KB
2025-09-29 01:29:26
oils-for-unix-doc-0.35.0-r0.apk
7.02KB
2025-09-29 01:29:26
ol-2.6-r0.apk
1.02MB
2025-05-01 09:30:07
ol-dev-2.6-r0.apk
15.84KB
2025-05-01 09:30:07
ol-doc-2.6-r0.apk
2.58KB
2025-05-01 09:30:07
olsrd-0.9.8-r3.apk
165.70KB
2024-10-25 22:02:25
olsrd-doc-0.9.8-r3.apk
25.07KB
2024-10-25 22:02:25
olsrd-openrc-0.9.8-r3.apk
1.87KB
2024-10-25 22:02:25
olsrd-plugins-0.9.8-r3.apk
173.23KB
2024-10-25 22:02:25
one-dnn-3.1-r0.apk
15.85MB
2024-10-25 22:02:26
one-dnn-dev-3.1-r0.apk
114.15KB
2024-10-25 22:02:26
one-dnn-doc-3.1-r0.apk
16.02KB
2024-10-25 22:02:26
onevpl-intel-gpu-25.4.6-r0.apk
2.35MB
2026-01-07 02:06:08
onevpl-intel-gpu-dev-25.4.6-r0.apk
1.80KB
2026-01-07 02:06:08
onioncat-4.11.0-r1.apk
56.86KB
2024-10-25 22:02:26
onioncat-doc-4.11.0-r1.apk
19.23KB
2024-10-25 22:02:26
onionshare-2.6.3-r1.apk
179.64KB
2025-11-14 23:57:59
onionshare-desktop-2.6.3-r1.apk
1.30MB
2025-11-14 23:57:59
onionshare-pyc-2.6.3-r1.apk
240.48KB
2025-11-14 23:57:59
oniux-0.6.1-r0.apk
5.99MB
2025-09-08 18:37:12
oniux-doc-0.6.1-r0.apk
3.25KB
2025-09-08 18:37:12
opa-1.6.0-r4.apk
10.76MB
2025-12-05 00:48:49
opa-bash-completion-1.6.0-r4.apk
6.09KB
2025-12-05 00:48:49
opa-doc-1.6.0-r4.apk
22.91KB
2025-12-05 00:48:49
opa-fish-completion-1.6.0-r4.apk
4.32KB
2025-12-05 00:48:49
opa-zsh-completion-1.6.0-r4.apk
4.04KB
2025-12-05 00:48:49
opcr-policy-0.3.1-r1.apk
10.44MB
2025-12-05 00:48:50
openapi-tui-0.9.4-r1.apk
4.06MB
2024-10-25 22:02:26
openapi-validator-1.19.2-r0.apk
9.94MB
2024-10-25 22:02:27
openconnect-sso-0.8.0_git20230822-r0.apk
29.25KB
2025-05-14 12:13:43
openconnect-sso-pyc-0.8.0_git20230822-r0.apk
29.27KB
2025-05-14 12:13:43
opendht-3.1.11-r0.apk
181.79KB
2025-01-29 18:00:50
opendht-dev-3.1.11-r0.apk
70.89KB
2025-01-29 18:00:50
opendht-doc-3.1.11-r0.apk
3.02KB
2025-01-29 18:00:50
opendht-libs-3.1.11-r0.apk
573.13KB
2025-01-29 18:00:50
openfire-4.8.1-r1.apk
45.79MB
2024-12-03 17:45:00
openfire-doc-4.8.1-r1.apk
3.78MB
2024-12-03 17:45:00
openfire-openrc-4.8.1-r1.apk
1.92KB
2024-12-03 17:45:00
openfire-plugins-4.8.1-r1.apk
72.35KB
2024-12-03 17:45:00
openfortivpn-1.22.1-r0.apk
40.59KB
2024-12-12 06:50:57
openfortivpn-doc-1.22.1-r0.apk
6.29KB
2024-12-12 06:50:57
openfpgaloader-0.11.0-r0.apk
1.91MB
2024-10-25 22:02:27
openjdk21-mandrel-23.1.6.0-r0.apk
23.04MB
2025-02-22 16:39:07
openjfx-21.0.3_p1-r0.apk
8.90MB
2024-10-25 22:02:34
openjfx-demos-21.0.3_p1-r0.apk
28.25MB
2024-10-25 22:02:35
openjfx-doc-21.0.3_p1-r0.apk
96.81KB
2024-10-25 22:02:35
openocd-esp32-0_git20250707-r2.apk
1.95MB
2025-10-21 00:45:40
openocd-esp32-dev-0_git20250707-r2.apk
3.66KB
2025-10-21 00:45:40
openocd-esp32-doc-0_git20250707-r2.apk
3.21KB
2025-10-21 00:45:40
openocd-esp32-udev-0_git20250707-r2.apk
3.50KB
2025-10-21 00:45:40
openocd-git-0_git20251018-r1.apk
1.69MB
2025-10-21 00:45:40
openocd-git-cmd-openocd-0_git20251018-r1.apk
1.58KB
2025-10-21 00:45:40
openocd-git-dbg-0_git20251018-r1.apk
4.25MB
2025-10-21 00:45:40
openocd-git-dev-0_git20251018-r1.apk
3.35KB
2025-10-21 00:45:40
openocd-git-doc-0_git20251018-r1.apk
3.21KB
2025-10-21 00:45:40
openocd-git-udev-0_git20251018-r1.apk
3.54KB
2025-10-21 00:45:40
openocd-riscv-0_git20230104-r2.apk
1.50MB
2024-10-25 22:02:35
openocd-riscv-dev-0_git20230104-r2.apk
3.72KB
2024-10-25 22:02:35
openocd-riscv-doc-0_git20230104-r2.apk
3.29KB
2024-10-25 22:02:35
openocd-riscv-udev-rules-0_git20230104-r2.apk
3.28KB
2024-10-25 22:02:35
openrdap-0.9.1-r0.apk
3.43MB
2025-06-24 18:50:27
openrdap-doc-0.9.1-r0.apk
2.23KB
2025-06-24 18:50:27
openscap-daemon-0.1.10-r9.apk
60.16KB
2024-10-25 22:02:36
openscap-daemon-doc-0.1.10-r9.apk
17.54KB
2024-10-25 22:02:36
openscap-daemon-pyc-0.1.10-r9.apk
101.88KB
2024-10-25 22:02:36
openspades-0.1.3-r6.apk
11.08MB
2026-01-09 22:19:32
openspades-doc-0.1.3-r6.apk
19.43KB
2026-01-09 22:19:32
openswitcher-0.5.0-r4.apk
148.41KB
2024-10-25 22:02:36
openswitcher-proxy-0.5.0-r4.apk
9.38KB
2024-10-25 22:02:36
openswitcher-proxy-openrc-0.5.0-r4.apk
2.03KB
2024-10-25 22:02:36
opentelemetry-cpp-1.24.0-r0.apk
602.02KB
2025-11-22 15:32:29
opentelemetry-cpp-dev-1.24.0-r0.apk
521.28KB
2025-11-22 15:32:29
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
44.54KB
2025-11-22 15:32:29
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
57.89KB
2025-11-22 15:32:29
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
85.79KB
2025-11-22 15:32:29
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
49.74KB
2025-11-22 15:32:29
openterface-qt-0.5.9-r0.apk
1.07MB
2026-01-09 18:03:47
openvpn3-3.8.5-r1.apk
382.34KB
2025-02-22 16:39:13
openvpn3-dev-3.8.5-r1.apk
667.02KB
2025-02-22 16:39:13
openwsman-2.8.1-r1.apk
46.04KB
2025-06-30 11:08:57
openwsman-dev-2.8.1-r1.apk
55.71KB
2025-06-30 11:08:57
openwsman-doc-2.8.1-r1.apk
2.40KB
2025-06-30 11:08:57
openwsman-libs-2.8.1-r1.apk
314.50KB
2025-06-30 11:08:57
opkg-0.7.0-r0.apk
9.84KB
2024-10-25 22:02:37
opkg-dev-0.7.0-r0.apk
109.43KB
2024-10-25 22:02:37
opkg-doc-0.7.0-r0.apk
7.65KB
2024-10-25 22:02:37
opkg-libs-0.7.0-r0.apk
76.83KB
2024-10-25 22:02:37
opkg-utils-0.7.0-r0.apk
25.20KB
2024-10-25 22:02:37
opkg-utils-doc-0.7.0-r0.apk
3.62KB
2024-10-25 22:02:37
opmsg-1.84-r1.apk
271.62KB
2024-10-25 22:02:37
oppa-1.1.0-r0.apk
518.61KB
2025-10-12 16:21:33
orage-4.21.0-r0.apk
600.70KB
2026-01-07 02:06:08
orage-lang-4.21.0-r0.apk
1.24MB
2026-01-07 02:06:08
organicmaps-2025.09.05.1-r0.apk
126.77MB
2025-10-20 13:31:17
osmctools-0.9-r0.apk
119.42KB
2024-10-25 22:02:37
ostui-1.0.4-r0.apk
5.01MB
2025-09-11 05:50:26
ostui-doc-1.0.4-r0.apk
27.94KB
2025-09-11 05:50:26
otf-0.4.9-r0.apk
1.27KB
2025-12-05 00:48:50
otf-agent-0.4.9-r0.apk
8.65MB
2025-12-05 00:48:50
otf-agent-openrc-0.4.9-r0.apk
1.99KB
2025-12-05 00:48:50
otf-atkinson-hyperlegible-2020.0514-r1.apk
101.62KB
2024-10-25 22:02:37
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
47.69KB
2024-10-25 22:02:37
otf-cli-0.4.9-r0.apk
8.41MB
2025-12-05 00:48:50
otf-server-0.4.9-r0.apk
13.48MB
2025-12-05 00:48:50
otf-server-openrc-0.4.9-r0.apk
1.99KB
2025-12-05 00:48:50
otpclient-4.1.1-r0.apk
112.62KB
2025-07-23 01:44:44
otpclient-doc-4.1.1-r0.apk
3.65KB
2025-07-23 01:44:44
otrs-6.0.48-r2.apk
28.68MB
2024-10-25 22:02:37
otrs-apache2-6.0.48-r2.apk
4.03KB
2024-10-25 22:02:37
otrs-bash-completion-6.0.48-r2.apk
2.40KB
2024-10-25 22:02:37
otrs-dev-6.0.48-r2.apk
3.87MB
2024-10-25 22:02:37
otrs-doc-6.0.48-r2.apk
795.14KB
2024-10-25 22:02:37
otrs-fastcgi-6.0.48-r2.apk
1.77KB
2024-10-25 22:02:37
otrs-nginx-6.0.48-r2.apk
1.79KB
2024-10-25 22:02:37
otrs-openrc-6.0.48-r2.apk
1.89KB
2024-10-25 22:02:37
otrs-setup-6.0.48-r2.apk
107.34KB
2024-10-25 22:02:37
ouch-0.6.1-r0.apk
1.66MB
2025-05-28 10:37:45
ouch-bash-completion-0.6.1-r0.apk
2.50KB
2025-05-28 10:37:45
ouch-doc-0.6.1-r0.apk
3.82KB
2025-05-28 10:37:45
ouch-fish-completion-0.6.1-r0.apk
3.04KB
2025-05-28 10:37:45
ouch-zsh-completion-0.6.1-r0.apk
3.12KB
2025-05-28 10:37:45
ovhcloud-cli-0.9.0-r0.apk
7.56MB
2025-12-14 12:05:35
ovn-25.09.2-r0.apk
1.95MB
2025-12-15 00:31:16
ovn-dbg-25.09.2-r0.apk
6.67MB
2025-12-15 00:31:16
ovn-dev-25.09.2-r0.apk
1.85MB
2025-12-15 00:31:16
ovn-doc-25.09.2-r0.apk
263.70KB
2025-12-15 00:31:16
ovn-openrc-25.09.2-r0.apk
2.40KB
2025-12-15 00:31:16
ovos-0.0.1-r1.apk
1.47KB
2024-10-25 22:02:38
ovos-audio-1.0.1-r0.apk
136.28KB
2025-07-15 23:03:50
ovos-audio-pyc-1.0.1-r0.apk
35.83KB
2025-07-15 23:03:50
ovos-core-2.1.0-r0.apk
49.00KB
2025-11-02 17:56:36
ovos-core-pyc-2.1.0-r0.apk
58.31KB
2025-11-02 17:56:36
ovos-dinkum-listener-0.4.1-r0.apk
108.85KB
2025-07-15 23:03:50
ovos-dinkum-listener-pyc-0.4.1-r0.apk
56.77KB
2025-07-15 23:03:50
ovos-gui-1.3.3-r0.apk
37.86KB
2025-07-15 23:03:50
ovos-gui-pyc-1.3.3-r0.apk
38.43KB
2025-07-15 23:03:50
ovos-messagebus-0.0.10-r0.apk
9.95KB
2025-04-08 12:13:48
ovos-messagebus-pyc-0.0.10-r0.apk
6.65KB
2025-04-08 12:13:48
ovos-phal-0.2.10-r0.apk
10.48KB
2025-07-15 22:29:28
ovos-phal-pyc-0.2.10-r0.apk
7.24KB
2025-07-15 22:29:28
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
45.64KB
2024-10-25 22:02:38
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
3.97KB
2024-10-25 22:02:38
ovpncc-0.1_rc1-r0.apk
11.95KB
2024-10-25 22:02:38
ovpncc-doc-0.1_rc1-r0.apk
6.52KB
2024-10-25 22:02:38
oxygen-icons-6.1.0-r0.apk
31.60MB
2024-10-25 22:02:39
p0f-3.09b-r3.apk
75.06KB
2024-10-25 22:02:39
p0f-doc-3.09b-r3.apk
25.26KB
2024-10-25 22:02:39
p910nd-0.97-r2.apk
7.74KB
2024-10-25 22:02:39
p910nd-doc-0.97-r2.apk
2.98KB
2024-10-25 22:02:39
p910nd-openrc-0.97-r2.apk
1.84KB
2024-10-25 22:02:39
packwiz-0_git20251102-r1.apk
4.97MB
2025-12-05 00:48:50
packwiz-doc-0_git20251102-r1.apk
2.25KB
2025-12-05 00:48:50
pacparser-1.4.5-r1.apk
748.46KB
2024-10-25 22:02:39
pacparser-dev-1.4.5-r1.apk
3.60KB
2024-10-25 22:02:39
pacparser-doc-1.4.5-r1.apk
17.75KB
2024-10-25 22:02:39
paged-markdown-3-pdf-0.1.3-r0.apk
464.66KB
2025-05-28 17:24:01
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
1.86KB
2025-05-28 17:24:01
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
1.79KB
2025-05-28 17:24:01
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
1.86KB
2025-05-28 17:24:01
pam-krb5-4.11-r1.apk
22.43KB
2024-10-25 22:02:39
pam-krb5-doc-4.11-r1.apk
23.62KB
2024-10-25 22:02:39
pam-pkcs11-0.6.13-r1.apk
255.97KB
2025-11-17 15:11:09
pam-pkcs11-doc-0.6.13-r1.apk
14.21KB
2025-11-17 15:11:09
pam-pkcs11-systemd-0.6.13-r1.apk
1.76KB
2025-11-17 15:11:09
pam_sqlite3-1.0.2-r2.apk
8.46KB
2024-10-25 22:02:39
pamtester-0.1.2-r4.apk
9.15KB
2024-10-25 22:02:39
pamtester-doc-0.1.2-r4.apk
2.88KB
2024-10-25 22:02:39
pandora_box-0.18.0-r0.apk
433.06KB
2026-01-04 15:46:17
pantalaimon-0.10.5-r4.apk
44.76KB
2024-10-25 22:02:39
pantalaimon-doc-0.10.5-r4.apk
6.38KB
2024-10-25 22:02:39
pantalaimon-pyc-0.10.5-r4.apk
82.92KB
2024-10-25 22:02:39
pantalaimon-ui-0.10.5-r4.apk
1.72KB
2024-10-25 22:02:39
paperde-0.3.0-r2.apk
610.41KB
2025-08-21 10:47:58
paperde-dev-0.3.0-r2.apk
4.97KB
2025-08-21 10:47:58
paperkey-1.6-r2.apk
15.94KB
2024-10-25 22:02:39
paperkey-doc-1.6-r2.apk
4.51KB
2024-10-25 22:02:39
paprefs-1.2-r2.apk
30.31KB
2024-11-23 00:14:02
paprefs-lang-1.2-r2.apk
37.89KB
2024-11-23 00:14:02
par-1.53.0-r1.apk
14.04KB
2024-10-25 22:02:39
par-doc-1.53.0-r1.apk
30.33KB
2024-10-25 22:02:39
par2cmdline-turbo-1.3.0-r0.apk
310.83KB
2025-05-10 06:12:17
par2cmdline-turbo-doc-1.3.0-r0.apk
5.91KB
2025-05-10 06:12:17
paraexec-1.0-r3.apk
13.31MB
2024-10-25 22:02:39
parcellite-1.2.5-r0.apk
227.98KB
2024-10-25 22:02:39
parcellite-doc-1.2.5-r0.apk
25.23KB
2024-10-25 22:02:39
parcellite-lang-1.2.5-r0.apk
48.78KB
2024-10-25 22:02:39
parpar-0.4.5-r1.apk
5.84MB
2025-12-29 17:46:32
parse-changelog-0.6.12-r0.apk
550.29KB
2025-05-19 01:20:42
pash-2.3.0-r2.apk
4.29KB
2024-10-25 22:02:39
pasystray-0.8.2-r0.apk
45.60KB
2024-10-25 22:02:39
pasystray-doc-0.8.2-r0.apk
3.33KB
2024-10-25 22:02:39
pathvector-6.3.2-r18.apk
4.14MB
2025-12-05 00:48:50
pcem-17-r2.apk
2.00MB
2024-10-25 22:02:39
pcl-1.14.1-r0.apk
480.71KB
2025-02-22 16:39:13
pcl-dev-1.14.1-r0.apk
386.90KB
2025-02-22 16:39:13
pcl-libs-1.14.1-r0.apk
1.25MB
2025-02-22 16:39:13
pcsx2-1.7.4819-r5.apk
11.46MB
2025-02-22 16:39:13
pd-mapper-1.1-r0.apk
10.35KB
2026-01-13 02:01:58
pd-mapper-doc-1.1-r0.apk
2.48KB
2026-01-13 02:01:58
pd-mapper-openrc-1.1-r0.apk
1.66KB
2026-01-13 02:01:58
pd-mapper-systemd-1.1-r0.apk
1.70KB
2026-01-13 02:01:58
pdal-python-plugins-1.6.5-r0.apk
248.65KB
2025-06-22 00:43:44
pdf2svg-0.2.4-r0.apk
4.50KB
2025-09-28 21:49:43
pdfcrack-0.21-r0.apk
30.91KB
2025-11-19 10:08:37
pebble-le-0.3.0-r2.apk
63.18KB
2024-12-14 23:23:00
pebble-le-dev-0.3.0-r2.apk
40.04KB
2024-12-14 23:23:00
pebble-le-doc-0.3.0-r2.apk
3.68KB
2024-12-14 23:23:00
peervpn-0.044-r5.apk
40.15KB
2024-10-25 22:02:40
peervpn-openrc-0.044-r5.apk
1.78KB
2024-10-25 22:02:40
peg-0.1.18-r1.apk
38.04KB
2024-10-25 22:02:40
peg-doc-0.1.18-r1.apk
13.67KB
2024-10-25 22:02:40
pegasus-frontend-16_alpha-r0.apk
1.22MB
2024-10-25 22:02:40
pegasus-frontend-doc-16_alpha-r0.apk
16.23KB
2024-10-25 22:02:40
percona-toolkit-3.5.4-r1.apk
1.78MB
2024-10-25 22:02:40
percona-toolkit-doc-3.5.4-r1.apk
297.52KB
2024-10-25 22:02:40
perl-algorithm-c3-0.11-r1.apk
5.66KB
2024-10-25 22:02:40
perl-algorithm-c3-doc-0.11-r1.apk
5.10KB
2024-10-25 22:02:40
perl-algorithm-cron-0.10-r4.apk
6.11KB
2024-10-25 22:02:40
perl-algorithm-cron-doc-0.10-r4.apk
4.60KB
2024-10-25 22:02:40
perl-algorithm-evolutionary-0.82.1-r0.apk
78.73KB
2024-10-25 22:02:40
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
147.73KB
2024-10-25 22:02:40
perl-aliased-0.34-r4.apk
5.66KB
2024-10-25 22:02:40
perl-aliased-doc-0.34-r4.apk
5.67KB
2024-10-25 22:02:40
perl-alien-base-modulebuild-1.17-r0.apk
21.74KB
2025-06-08 19:03:26
perl-alien-base-modulebuild-doc-1.17-r0.apk
53.42KB
2025-06-08 19:03:26
perl-alien-libgumbo-0.05-r1.apk
576.17KB
2025-06-30 11:08:57
perl-alien-libgumbo-doc-0.05-r1.apk
4.83KB
2025-06-30 11:08:57
perl-anyevent-dns-etchosts-0.0105-r0.apk
5.11KB
2024-10-25 22:02:40
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
3.98KB
2024-10-25 22:02:40
perl-archive-any-lite-0.11-r0.apk
4.12KB
2025-07-11 11:46:43
perl-archive-any-lite-doc-0.11-r0.apk
3.70KB
2025-07-11 11:46:43
perl-archive-extract-0.88-r1.apk
15.75KB
2024-10-25 22:02:40
perl-archive-extract-doc-0.88-r1.apk
6.83KB
2024-10-25 22:02:40
perl-array-diff-0.09-r0.apk
3.33KB
2025-07-10 08:38:39
perl-array-diff-doc-0.09-r0.apk
3.88KB
2025-07-10 08:38:39
perl-asa-1.04-r0.apk
4.32KB
2025-06-11 19:34:53
perl-asa-doc-1.04-r0.apk
5.00KB
2025-06-11 19:34:53
perl-autobox-3.0.2-r1.apk
18.51KB
2025-06-30 11:08:57
perl-autobox-doc-3.0.2-r1.apk
8.90KB
2025-06-30 11:08:57
perl-b-utils-0.27-r1.apk
19.00KB
2025-06-30 11:08:57
perl-b-utils-doc-0.27-r1.apk
9.51KB
2025-06-30 11:08:57
perl-badger-0.16-r1.apk
253.13KB
2025-11-12 01:13:43
perl-badger-doc-0.16-r1.apk
262.75KB
2025-11-12 01:13:43
perl-barcode-zbar-0.10-r4.apk
29.49KB
2025-06-30 11:08:57
perl-barcode-zbar-doc-0.10-r4.apk
12.68KB
2025-06-30 11:08:57
perl-bind-config-parser-0.01-r5.apk
3.88KB
2024-10-25 22:02:40
perl-bind-config-parser-doc-0.01-r5.apk
3.63KB
2024-10-25 22:02:40
perl-carp-assert-more-2.9.0-r0.apk
8.97KB
2025-04-14 00:31:56
perl-carp-assert-more-doc-2.9.0-r0.apk
7.79KB
2025-04-14 00:31:56
perl-carp-repl-0.18-r0.apk
5.95KB
2025-04-26 20:12:30
perl-carp-repl-doc-0.18-r0.apk
5.87KB
2025-04-26 20:12:30
perl-catalyst-action-renderview-0.17-r0.apk
3.80KB
2025-03-21 18:21:14
perl-catalyst-action-renderview-doc-0.17-r0.apk
4.04KB
2025-03-21 18:21:14
perl-catalyst-action-rest-1.21-r0.apk
25.40KB
2025-04-03 03:11:59
perl-catalyst-action-rest-doc-1.21-r0.apk
27.07KB
2025-04-03 03:11:59
perl-catalyst-actionrole-acl-0.07-r0.apk
4.33KB
2025-05-10 06:12:17
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
5.74KB
2025-05-10 06:12:17
perl-catalyst-authentication-credential-http-1.018-r0.apk
8.61KB
2025-04-04 09:07:06
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
6.76KB
2025-04-04 09:07:06
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
13.32KB
2025-06-11 19:35:30
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
13.87KB
2025-06-11 19:35:30
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2.72KB
2025-06-19 13:55:10
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
3.29KB
2025-06-19 13:55:10
perl-catalyst-controller-actionrole-0.17-r0.apk
4.98KB
2025-04-04 09:07:06
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
4.44KB
2025-04-04 09:07:06
perl-catalyst-devel-1.42-r0.apk
54.07KB
2025-03-21 18:21:14
perl-catalyst-devel-doc-1.42-r0.apk
11.38KB
2025-03-21 18:21:14
perl-catalyst-manual-5.9013-r0.apk
2.69KB
2025-04-04 09:07:06
perl-catalyst-manual-doc-5.9013-r0.apk
375.13KB
2025-04-04 09:07:06
perl-catalyst-model-adaptor-0.10-r0.apk
6.49KB
2025-04-14 00:31:56
perl-catalyst-model-adaptor-doc-0.10-r0.apk
12.11KB
2025-04-14 00:31:56
perl-catalyst-model-dbic-schema-0.66-r0.apk
19.12KB
2025-06-11 19:35:30
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
17.90KB
2025-06-11 19:35:30
perl-catalyst-plugin-authentication-0.10024-r0.apk
32.22KB
2025-04-03 03:11:59
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
55.90KB
2025-04-03 03:11:59
perl-catalyst-plugin-configloader-0.35-r0.apk
5.38KB
2025-03-21 18:21:14
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
10.32KB
2025-03-21 18:21:14
perl-catalyst-plugin-i18n-0.10-r0.apk
4.13KB
2025-04-04 14:29:08
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
13.28KB
2025-04-04 14:29:08
perl-catalyst-plugin-session-0.43-r0.apk
14.43KB
2025-04-04 14:56:59
perl-catalyst-plugin-session-doc-0.43-r0.apk
25.14KB
2025-04-04 14:56:59
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
4.85KB
2025-04-20 07:32:46
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
4.85KB
2025-04-20 07:32:46
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
5.89KB
2025-06-13 21:17:55
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
6.43KB
2025-06-13 21:17:55
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
4.48KB
2025-06-13 21:17:55
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
4.53KB
2025-06-13 21:17:55
perl-catalyst-plugin-session-store-file-0.18-r0.apk
3.65KB
2025-06-14 05:46:49
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
4.01KB
2025-06-14 05:46:49
perl-catalyst-plugin-stacktrace-0.12-r0.apk
4.67KB
2025-06-14 05:46:49
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
3.88KB
2025-06-14 05:46:49
perl-catalyst-plugin-static-simple-0.37-r0.apk
8.69KB
2025-03-21 18:21:14
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
7.41KB
2025-03-21 18:21:14
perl-catalyst-runtime-5.90132-r0.apk
149.69KB
2025-03-21 18:21:14
perl-catalyst-runtime-doc-5.90132-r0.apk
216.34KB
2025-03-21 18:21:14
perl-catalyst-view-email-0.36-r0.apk
9.08KB
2025-04-04 14:29:08
perl-catalyst-view-email-doc-0.36-r0.apk
10.76KB
2025-04-04 14:29:08
perl-catalyst-view-tt-0.46-r0.apk
13.62KB
2025-04-14 00:31:56
perl-catalyst-view-tt-doc-0.46-r0.apk
12.70KB
2025-04-14 00:31:56
perl-catalystx-component-traits-0.19-r0.apk
4.32KB
2025-05-10 06:12:17
perl-catalystx-component-traits-doc-0.19-r0.apk
4.00KB
2025-05-10 06:12:17
perl-catalystx-injectcomponent-0.025-r0.apk
3.42KB
2025-05-01 09:46:35
perl-catalystx-injectcomponent-doc-0.025-r0.apk
3.59KB
2025-05-01 09:46:35
perl-catalystx-leakchecker-0.06-r0.apk
3.37KB
2025-06-15 07:47:03
perl-catalystx-leakchecker-doc-0.06-r0.apk
3.52KB
2025-06-15 07:47:03
perl-catalystx-profile-0.02-r0.apk
3.07KB
2025-06-15 07:47:03
perl-catalystx-profile-doc-0.02-r0.apk
4.54KB
2025-06-15 07:47:03
perl-catalystx-repl-0.04-r0.apk
3.30KB
2025-04-27 18:07:15
perl-catalystx-repl-doc-0.04-r0.apk
3.62KB
2025-04-27 18:07:15
perl-catalystx-simplelogin-0.21-r0.apk
10.68KB
2025-05-10 06:12:17
perl-catalystx-simplelogin-doc-0.21-r0.apk
24.19KB
2025-05-10 06:12:17
perl-cgi-expand-2.05-r4.apk
6.88KB
2024-10-25 22:02:40
perl-cgi-expand-doc-2.05-r4.apk
6.16KB
2024-10-25 22:02:40
perl-cgi-simple-1.282-r0.apk
55.62KB
2025-08-30 07:17:25
perl-cgi-simple-doc-1.282-r0.apk
42.73KB
2025-08-30 07:17:25
perl-cgi-struct-1.21-r0.apk
7.83KB
2025-03-14 19:12:14
perl-cgi-struct-doc-1.21-r0.apk
6.78KB
2025-03-14 19:12:14
perl-class-accessor-grouped-0.10014-r2.apk
12.02KB
2024-10-25 22:02:40
perl-class-accessor-grouped-doc-0.10014-r2.apk
7.48KB
2024-10-25 22:02:40
perl-class-c3-0.35-r1.apk
9.46KB
2024-10-25 22:02:40
perl-class-c3-adopt-next-0.14-r0.apk
5.08KB
2025-03-14 19:12:14
perl-class-c3-adopt-next-doc-0.14-r0.apk
4.67KB
2025-03-14 19:12:14
perl-class-c3-componentised-1.001002-r2.apk
5.53KB
2024-10-25 22:02:40
perl-class-c3-componentised-doc-1.001002-r2.apk
5.34KB
2024-10-25 22:02:40
perl-class-c3-doc-0.35-r1.apk
9.28KB
2024-10-25 22:02:40
perl-class-unload-0.11-r0.apk
2.59KB
2025-06-05 02:00:51
perl-class-unload-doc-0.11-r0.apk
3.07KB
2025-06-05 02:00:51
perl-cli-osprey-0.08-r0.apk
12.75KB
2024-12-28 06:54:24
perl-cli-osprey-doc-0.08-r0.apk
12.05KB
2024-12-28 06:54:24
perl-clipboard-0.32-r1.apk
10.42KB
2025-10-13 19:13:09
perl-clipboard-doc-0.32-r1.apk
26.87KB
2025-10-13 19:13:09
perl-conf-libconfig-1.0.3-r2.apk
23.57KB
2025-06-30 11:08:57
perl-conf-libconfig-doc-1.0.3-r2.apk
5.50KB
2025-06-30 11:08:57
perl-constant-defer-6-r5.apk
7.40KB
2024-10-25 22:02:40
perl-constant-defer-doc-6-r5.apk
6.95KB
2024-10-25 22:02:40
perl-constant-generate-0.17-r5.apk
8.75KB
2024-10-25 22:02:40
perl-constant-generate-doc-0.17-r5.apk
7.04KB
2024-10-25 22:02:40
perl-context-preserve-0.03-r4.apk
3.87KB
2024-10-25 22:02:40
perl-context-preserve-doc-0.03-r4.apk
4.22KB
2024-10-25 22:02:40
perl-cpan-audit-20250829.001-r0.apk
13.51KB
2025-09-06 19:20:40
perl-cpan-audit-doc-20250829.001-r0.apk
12.36KB
2025-09-06 19:20:40
perl-cpan-changes-0.500005-r0.apk
13.40KB
2025-08-16 17:24:52
perl-cpan-changes-doc-0.500005-r0.apk
18.34KB
2025-08-16 17:24:52
perl-cpansa-db-20250807.001-r0.apk
1.29MB
2025-09-01 16:16:07
perl-cpansa-db-doc-20250807.001-r0.apk
5.57KB
2025-09-01 16:16:07
perl-crypt-blowfish-2.14-r1.apk
12.04KB
2025-06-30 11:08:57
perl-crypt-blowfish-doc-2.14-r1.apk
4.07KB
2025-06-30 11:08:57
perl-crypt-saltedhash-0.09-r5.apk
6.95KB
2024-10-25 22:02:40
perl-crypt-saltedhash-doc-0.09-r5.apk
6.37KB
2024-10-25 22:02:40
perl-css-inliner-4027-r0.apk
15.83KB
2025-06-16 14:50:58
perl-css-inliner-doc-4027-r0.apk
9.44KB
2025-06-16 14:50:58
perl-daemon-control-0.001010-r2.apk
12.38KB
2024-10-25 22:02:40
perl-daemon-control-doc-0.001010-r2.apk
8.26KB
2024-10-25 22:02:40
perl-dancer-plugin-auth-extensible-1.00-r5.apk
15.31KB
2024-10-25 22:02:40
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
14.82KB
2024-10-25 22:02:40
perl-dancer-plugin-dbic-0.2104-r5.apk
4.93KB
2024-10-25 22:02:40
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
5.36KB
2024-10-25 22:02:40
perl-dancer-plugin-passphrase-2.0.1-r4.apk
9.70KB
2024-10-25 22:02:40
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
8.46KB
2024-10-25 22:02:40
perl-dancer-session-cookie-0.30-r2.apk
5.46KB
2024-10-25 22:02:40
perl-dancer-session-cookie-doc-0.30-r2.apk
4.18KB
2024-10-25 22:02:40
perl-dancer2-1.1.2-r0.apk
163.04KB
2024-12-28 06:54:24
perl-dancer2-doc-1.1.2-r0.apk
300.77KB
2024-12-28 06:54:24
perl-data-binary-0.01-r0.apk
2.77KB
2025-07-10 08:38:39
perl-data-binary-doc-0.01-r0.apk
3.08KB
2025-07-10 08:38:39
perl-data-clone-0.006-r1.apk
9.58KB
2025-06-30 11:08:57
perl-data-clone-doc-0.006-r1.apk
4.53KB
2025-06-30 11:08:57
perl-data-dump-streamer-2.42-r1.apk
49.60KB
2025-06-30 11:08:57
perl-data-dump-streamer-doc-2.42-r1.apk
17.26KB
2025-06-30 11:08:57
perl-data-section-0.200008-r0.apk
6.41KB
2025-07-07 20:02:50
perl-data-section-doc-0.200008-r0.apk
5.62KB
2025-07-07 20:02:50
perl-data-validate-ip-0.31-r1.apk
8.82KB
2024-10-25 22:02:40
perl-data-validate-ip-doc-0.31-r1.apk
5.86KB
2024-10-25 22:02:40
perl-data-visitor-0.32-r0.apk
9.77KB
2025-03-21 18:21:14
perl-data-visitor-doc-0.32-r0.apk
8.31KB
2025-03-21 18:21:14
perl-database-async-engine-postgresql-1.005-r0.apk
13.94KB
2024-10-25 22:02:40
perl-database-async-engine-postgresql-doc-1.005-r0.apk
9.32KB
2024-10-25 22:02:40
perl-date-range-1.41-r0.apk
3.75KB
2025-07-08 19:53:47
perl-date-range-doc-1.41-r0.apk
4.04KB
2025-07-08 19:53:47
perl-dbicx-sugar-0.0200-r5.apk
5.89KB
2024-10-25 22:02:40
perl-dbicx-sugar-doc-0.0200-r5.apk
5.34KB
2024-10-25 22:02:40
perl-dbix-class-0.082844-r0.apk
355.48KB
2025-01-16 19:27:06
perl-dbix-class-candy-0.005004-r0.apk
7.87KB
2024-10-30 07:59:56
perl-dbix-class-candy-doc-0.005004-r0.apk
9.48KB
2024-10-30 07:59:56
perl-dbix-class-cursor-cached-1.001004-r0.apk
3.22KB
2025-06-10 03:53:07
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
3.04KB
2025-06-10 03:53:07
perl-dbix-class-doc-0.082844-r0.apk
420.50KB
2025-01-16 19:27:06
perl-dbix-class-helpers-2.037000-r0.apk
47.72KB
2024-11-17 06:04:09
perl-dbix-class-helpers-doc-2.037000-r0.apk
121.36KB
2024-11-17 06:04:09
perl-dbix-class-schema-loader-0.07053-r0.apk
97.37KB
2025-06-10 03:53:07
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
77.33KB
2025-06-10 03:53:07
perl-dbix-datasource-0.02-r5.apk
4.30KB
2024-10-25 22:02:40
perl-dbix-datasource-doc-0.02-r5.apk
7.54KB
2024-10-25 22:02:40
perl-dbix-introspector-0.001005-r4.apk
8.05KB
2024-10-25 22:02:40
perl-dbix-introspector-doc-0.001005-r4.apk
8.46KB
2024-10-25 22:02:40
perl-dbix-lite-0.36-r0.apk
18.01KB
2024-12-30 08:37:25
perl-dbix-lite-doc-0.36-r0.apk
17.52KB
2024-12-30 08:37:25
perl-devel-leak-0.03-r14.apk
6.62KB
2025-06-30 11:08:57
perl-devel-leak-doc-0.03-r14.apk
3.35KB
2025-06-30 11:08:57
perl-devel-nytprof-6.14-r1.apk
389.84KB
2025-06-30 11:08:57
perl-devel-nytprof-doc-6.14-r1.apk
50.53KB
2025-06-30 11:08:57
perl-devel-repl-1.003029-r0.apk
28.34KB
2025-04-24 17:50:08
perl-devel-repl-doc-1.003029-r0.apk
60.15KB
2025-04-24 17:50:08
perl-devel-stacktrace-withlexicals-2.01-r0.apk
3.66KB
2025-04-26 13:21:02
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
3.42KB
2025-04-26 13:21:02
perl-devel-trace-0.12-r0.apk
3.36KB
2025-07-06 22:16:11
perl-devel-trace-doc-0.12-r0.apk
3.47KB
2025-07-06 22:16:11
perl-digest-bcrypt-1.212-r1.apk
5.58KB
2024-10-25 22:02:40
perl-digest-bcrypt-doc-1.212-r1.apk
5.18KB
2024-10-25 22:02:40
perl-email-abstract-3.010-r0.apk
7.65KB
2024-10-25 22:02:40
perl-email-abstract-doc-3.010-r0.apk
12.90KB
2024-10-25 22:02:40
perl-email-mime-attachment-stripper-1.317-r5.apk
3.96KB
2024-10-25 22:02:40
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
3.82KB
2024-10-25 22:02:40
perl-email-reply-1.204-r5.apk
6.14KB
2024-10-25 22:02:40
perl-email-reply-doc-1.204-r5.apk
4.80KB
2024-10-25 22:02:40
perl-email-sender-2.601-r0.apk
24.67KB
2025-04-04 14:29:08
perl-email-sender-doc-2.601-r0.apk
42.09KB
2025-04-04 14:29:08
perl-encode-detect-1.01-r1.apk
71.44KB
2025-06-30 11:08:57
perl-encode-detect-doc-1.01-r1.apk
4.85KB
2025-06-30 11:08:57
perl-expect-1.38-r0.apk
32.44KB
2025-04-19 22:02:17
perl-expect-doc-1.38-r0.apk
20.29KB
2025-04-19 22:02:17
perl-expect-simple-0.04-r0.apk
5.49KB
2025-04-20 07:38:37
perl-expect-simple-doc-0.04-r0.apk
4.88KB
2025-04-20 07:38:37
perl-extutils-makemaker-7.76-r0.apk
174.21KB
2025-08-16 17:24:52
perl-extutils-xsbuilder-0.28-r5.apk
43.06KB
2024-10-25 22:02:40
perl-extutils-xsbuilder-doc-0.28-r5.apk
21.14KB
2024-10-25 22:02:40
perl-ffi-c-0.15-r0.apk
19.87KB
2024-10-25 22:02:40
perl-ffi-c-doc-0.15-r0.apk
28.60KB
2024-10-25 22:02:40
perl-ffi-platypus-2.10-r1.apk
181.31KB
2025-06-30 11:08:57
perl-ffi-platypus-doc-2.10-r1.apk
147.97KB
2025-06-30 11:08:57
perl-ffi-platypus-type-enum-0.06-r0.apk
5.21KB
2024-10-25 22:02:40
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
5.17KB
2024-10-25 22:02:40
perl-file-changenotify-0.31-r0.apk
12.11KB
2025-03-21 18:21:14
perl-file-changenotify-doc-0.31-r0.apk
14.01KB
2025-03-21 18:21:14
perl-file-find-object-0.3.9-r0.apk
9.16KB
2025-07-11 19:50:14
perl-file-find-object-doc-0.3.9-r0.apk
13.21KB
2025-07-11 19:50:14
perl-file-mmagic-xs-0.09008-r5.apk
29.07KB
2025-06-30 11:08:57
perl-file-mmagic-xs-doc-0.09008-r5.apk
4.26KB
2025-06-30 11:08:57
perl-file-rename-2.02-r0.apk
7.54KB
2024-10-25 22:02:40
perl-file-rename-doc-2.02-r0.apk
12.09KB
2024-10-25 22:02:40
perl-file-treecreate-0.0.1-r0.apk
4.02KB
2025-07-11 11:46:43
perl-file-treecreate-doc-0.0.1-r0.apk
4.40KB
2025-07-11 11:46:43
perl-finance-quote-1.68-r0.apk
113.88KB
2025-12-22 12:54:36
perl-finance-quote-doc-1.68-r0.apk
89.84KB
2025-12-22 12:54:36
perl-flowd-0.9.1-r11.apk
21.01KB
2025-06-30 11:08:57
perl-flowd-doc-0.9.1-r11.apk
3.25KB
2025-06-30 11:08:57
perl-freezethaw-0.5001-r3.apk
9.82KB
2025-10-18 13:32:38
perl-freezethaw-doc-0.5001-r3.apk
5.71KB
2025-10-18 13:32:38
perl-full-1.004-r0.apk
7.12KB
2024-10-25 22:02:40
perl-full-doc-1.004-r0.apk
10.29KB
2024-10-25 22:02:40
perl-getopt-long-descriptive-0.116-r0.apk
14.63KB
2024-12-31 12:59:01
perl-getopt-long-descriptive-doc-0.116-r0.apk
10.99KB
2024-12-31 12:59:01
perl-getopt-tabular-0.3-r4.apk
23.24KB
2024-10-25 22:02:40
perl-getopt-tabular-doc-0.3-r4.apk
16.75KB
2024-10-25 22:02:40
perl-hash-merge-extra-0.06-r0.apk
3.14KB
2025-06-20 18:18:46
perl-hash-merge-extra-doc-0.06-r0.apk
3.37KB
2025-06-20 18:18:46
perl-html-formatexternal-26-r0.apk
17.08KB
2025-06-07 17:55:12
perl-html-formatexternal-doc-26-r0.apk
23.01KB
2025-06-07 17:55:12
perl-html-formhandler-0.40068-r0.apk
135.46KB
2025-05-01 09:46:35
perl-html-formhandler-doc-0.40068-r0.apk
323.23KB
2025-05-01 09:46:35
perl-html-gumbo-0.18-r2.apk
13.87KB
2025-06-30 11:08:57
perl-html-gumbo-doc-0.18-r2.apk
5.43KB
2025-06-30 11:08:57
perl-html-query-0.09-r0.apk
13.82KB
2025-06-16 14:50:58
perl-html-query-doc-0.09-r0.apk
10.10KB
2025-06-16 14:50:58
perl-html-tableextract-2.15-r4.apk
17.68KB
2024-10-25 22:02:40
perl-html-tableextract-doc-2.15-r4.apk
9.92KB
2024-10-25 22:02:40
perl-html-treebuilder-xpath-0.14-r0.apk
7.80KB
2025-07-10 08:38:39
perl-html-treebuilder-xpath-doc-0.14-r0.apk
4.21KB
2025-07-10 08:38:39
perl-http-headers-actionpack-0.09-r0.apk
18.00KB
2025-06-13 21:17:32
perl-http-headers-actionpack-doc-0.09-r0.apk
40.30KB
2025-06-13 21:17:32
perl-i18n-langinfo-wide-9-r4.apk
4.21KB
2024-10-25 22:02:40
perl-i18n-langinfo-wide-doc-9-r4.apk
4.09KB
2024-10-25 22:02:40
perl-imager-1.028-r1.apk
525.61KB
2025-06-30 11:08:57
perl-imager-doc-1.028-r1.apk
286.43KB
2025-06-30 11:08:57
perl-io-handle-util-0.02-r0.apk
10.62KB
2025-06-11 19:34:53
perl-io-handle-util-doc-0.02-r0.apk
9.82KB
2025-06-11 19:34:53
perl-io-interactive-1.027-r0.apk
5.32KB
2025-09-01 16:16:07
perl-io-interactive-doc-1.027-r0.apk
5.20KB
2025-09-01 16:16:07
perl-io-sessiondata-1.03-r3.apk
5.76KB
2024-10-25 22:02:40
perl-json-validator-5.15-r0.apk
58.15KB
2025-03-21 18:21:14
perl-json-validator-doc-5.15-r0.apk
33.82KB
2025-03-21 18:21:14
perl-lexical-persistence-1.023-r0.apk
7.51KB
2025-04-26 11:13:41
perl-lexical-persistence-doc-1.023-r0.apk
7.57KB
2025-04-26 11:13:41
perl-libapreq2-2.17-r3.apk
97.51KB
2025-06-30 11:08:57
perl-libapreq2-dev-2.17-r3.apk
55.07KB
2025-06-30 11:08:57
perl-libapreq2-doc-2.17-r3.apk
37.27KB
2025-06-30 11:08:57
perl-libintl-perl-1.35-r0.apk
304.92KB
2025-01-16 15:35:20
perl-libintl-perl-doc-1.35-r0.apk
571.42KB
2025-01-16 15:35:21
perl-lingua-en-findnumber-1.32-r0.apk
3.25KB
2025-06-08 19:03:26
perl-lingua-en-findnumber-doc-1.32-r0.apk
3.48KB
2025-06-08 19:03:26
perl-lingua-en-inflect-number-1.12-r0.apk
3.21KB
2025-06-08 19:03:26
perl-lingua-en-inflect-number-doc-1.12-r0.apk
3.56KB
2025-06-08 19:03:26
perl-lingua-en-inflect-phrase-0.20-r0.apk
5.32KB
2025-06-09 15:06:14
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
3.90KB
2025-06-09 15:06:14
perl-lingua-en-number-isordinal-0.05-r0.apk
3.06KB
2025-06-08 19:03:26
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
3.45KB
2025-06-08 19:03:26
perl-lingua-en-tagger-0.31-r0.apk
545.77KB
2025-06-08 19:03:26
perl-lingua-en-tagger-doc-0.31-r0.apk
4.46KB
2025-06-08 19:03:26
perl-lingua-en-words2nums-0.18-r0.apk
4.59KB
2025-06-07 17:55:34
perl-lingua-en-words2nums-doc-0.18-r0.apk
3.52KB
2025-06-07 17:55:34
perl-lingua-pt-stemmer-0.02-r0.apk
5.43KB
2025-06-07 05:00:16
perl-lingua-pt-stemmer-doc-0.02-r0.apk
4.28KB
2025-06-07 05:00:16
perl-lingua-stem-2.31-r0.apk
12.38KB
2025-06-08 19:03:26
perl-lingua-stem-doc-2.31-r0.apk
33.58KB
2025-06-08 19:03:26
perl-lingua-stem-fr-0.02-r0.apk
6.13KB
2025-06-06 17:57:13
perl-lingua-stem-fr-doc-0.02-r0.apk
3.93KB
2025-06-06 17:57:13
perl-lingua-stem-it-0.02-r0.apk
5.22KB
2025-06-06 17:57:13
perl-lingua-stem-it-doc-0.02-r0.apk
3.54KB
2025-06-06 17:57:13
perl-lingua-stem-ru-0.04-r0.apk
4.12KB
2025-06-06 17:57:13
perl-lingua-stem-ru-doc-0.04-r0.apk
3.75KB
2025-06-06 17:57:13
perl-lingua-stem-snowball-da-1.01-r0.apk
4.33KB
2025-06-08 19:03:26
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
3.03KB
2025-06-08 19:03:26
perl-linux-pid-0.04-r15.apk
4.61KB
2025-06-30 11:08:57
perl-linux-pid-doc-0.04-r15.apk
3.07KB
2025-06-30 11:08:57
perl-log-fu-0.31-r4.apk
10.51KB
2024-10-25 22:02:40
perl-log-fu-doc-0.31-r4.apk
7.27KB
2024-10-25 22:02:40
perl-log-message-0.08-r3.apk
10.61KB
2024-10-25 22:02:40
perl-log-message-doc-0.08-r3.apk
12.15KB
2024-10-25 22:02:40
perl-log-message-simple-0.10-r3.apk
4.21KB
2024-10-25 22:02:40
perl-log-message-simple-doc-0.10-r3.apk
3.95KB
2024-10-25 22:02:40
perl-lwp-useragent-cached-0.08-r1.apk
6.39KB
2024-10-25 22:02:40
perl-lwp-useragent-cached-doc-0.08-r1.apk
5.66KB
2024-10-25 22:02:40
perl-math-int64-0.57-r2.apk
27.68KB
2025-06-30 11:08:57
perl-math-int64-doc-0.57-r2.apk
10.53KB
2025-06-30 11:08:57
perl-math-libm-1.00-r15.apk
10.31KB
2025-06-30 11:08:57
perl-math-libm-doc-1.00-r15.apk
3.21KB
2025-06-30 11:08:57
perl-memoize-expirelru-0.56-r0.apk
6.22KB
2025-06-08 19:03:26
perl-memoize-expirelru-doc-0.56-r0.apk
3.84KB
2025-06-08 19:03:26
perl-module-cpants-analyse-1.02-r0.apk
26.52KB
2025-07-12 16:43:10
perl-module-cpants-analyse-doc-1.02-r0.apk
28.29KB
2025-07-12 16:43:10
perl-module-extract-version-1.119-r0.apk
3.25KB
2025-09-06 19:20:40
perl-module-extract-version-doc-1.119-r0.apk
3.46KB
2025-09-06 19:20:40
perl-module-path-0.19-r0.apk
4.71KB
2025-06-08 19:03:26
perl-module-path-doc-0.19-r0.apk
5.80KB
2025-06-08 19:03:26
perl-mojolicious-plugin-openapi-5.11-r0.apk
28.37KB
2025-03-21 18:21:14
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
33.46KB
2025-03-21 18:21:14
perl-moosex-configfromfile-0.14-r0.apk
4.09KB
2025-03-16 06:03:49
perl-moosex-configfromfile-doc-0.14-r0.apk
4.15KB
2025-03-16 06:03:49
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
5.43KB
2025-03-16 06:03:49
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
5.34KB
2025-03-16 06:03:49
perl-moosex-getopt-0.78-r0.apk
13.62KB
2025-03-16 06:03:49
perl-moosex-getopt-doc-0.78-r0.apk
24.72KB
2025-03-16 06:03:49
perl-moosex-markasmethods-0.15-r0.apk
5.11KB
2025-06-05 02:00:51
perl-moosex-markasmethods-doc-0.15-r0.apk
5.20KB
2025-06-05 02:00:51
perl-moosex-methodattributes-0.32-r0.apk
8.56KB
2025-03-21 18:21:14
perl-moosex-methodattributes-doc-0.32-r0.apk
22.05KB
2025-03-21 18:21:14
perl-moosex-nonmoose-0.27-r1.apk
8.19KB
2025-06-19 13:55:10
perl-moosex-nonmoose-doc-0.27-r1.apk
9.44KB
2025-06-19 13:55:10
perl-moosex-object-pluggable-0.0014-r0.apk
5.98KB
2025-04-21 20:33:05
perl-moosex-object-pluggable-doc-0.0014-r0.apk
5.50KB
2025-04-21 20:33:05
perl-moosex-relatedclassroles-0.004-r0.apk
2.80KB
2025-05-01 09:46:35
perl-moosex-relatedclassroles-doc-0.004-r0.apk
3.34KB
2025-05-01 09:46:35
perl-moosex-role-parameterized-1.11-r0.apk
7.73KB
2025-03-15 16:08:43
perl-moosex-role-parameterized-doc-1.11-r0.apk
23.50KB
2025-03-15 16:08:43
perl-moosex-simpleconfig-0.11-r0.apk
3.79KB
2025-03-16 06:03:49
perl-moosex-simpleconfig-doc-0.11-r0.apk
4.16KB
2025-03-16 06:03:49
perl-moosex-traits-pluggable-0.12-r0.apk
4.95KB
2025-05-01 09:46:35
perl-moosex-traits-pluggable-doc-0.12-r0.apk
4.21KB
2025-05-01 09:46:35
perl-moosex-types-loadableclass-0.016-r0.apk
3.22KB
2025-05-01 01:48:08
perl-moosex-types-loadableclass-doc-0.016-r0.apk
3.74KB
2025-05-01 01:48:08
perl-moosex-types-path-tiny-0.012-r0.apk
4.01KB
2025-03-15 16:08:43
perl-moosex-types-path-tiny-doc-0.012-r0.apk
4.12KB
2025-03-15 16:08:43
perl-moosex-types-stringlike-0.003-r0.apk
3.05KB
2025-03-15 16:08:43
perl-moosex-types-stringlike-doc-0.003-r0.apk
3.58KB
2025-03-15 16:08:43
perl-moox-typetiny-0.002003-r0.apk
3.55KB
2025-06-09 15:05:52
perl-moox-typetiny-doc-0.002003-r0.apk
3.17KB
2025-06-09 15:05:52
perl-musicbrainz-discid-0.06-r2.apk
9.11KB
2025-06-30 11:08:57
perl-musicbrainz-discid-doc-0.06-r2.apk
4.36KB
2025-06-30 11:08:57
perl-net-amqp-rabbitmq-2.40014-r1.apk
80.29KB
2025-11-21 06:18:15
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
10.81KB
2025-11-21 06:18:15
perl-net-async-redis-6.006-r0.apk
58.43KB
2024-12-11 18:22:10
perl-net-async-redis-doc-6.006-r0.apk
65.89KB
2024-12-11 18:22:10
perl-net-async-redis-xs-1.001-r2.apk
8.80KB
2025-06-30 11:08:57
perl-net-async-redis-xs-doc-1.001-r2.apk
5.39KB
2025-06-30 11:08:57
perl-net-curl-0.57-r1.apk
61.70KB
2025-06-30 11:08:57
perl-net-curl-doc-0.57-r1.apk
39.40KB
2025-06-30 11:08:57
perl-net-curl-promiser-0.20-r0.apk
8.87KB
2024-10-25 22:02:40
perl-net-curl-promiser-anyevent-0.20-r0.apk
2.72KB
2024-10-25 22:02:40
perl-net-curl-promiser-doc-0.20-r0.apk
11.65KB
2024-10-25 22:02:40
perl-net-curl-promiser-ioasync-0.20-r0.apk
2.98KB
2024-10-25 22:02:40
perl-net-curl-promiser-mojo-0.20-r0.apk
3.11KB
2024-10-25 22:02:40
perl-net-irr-0.10-r0.apk
5.47KB
2024-10-25 22:02:40
perl-net-irr-doc-0.10-r0.apk
5.17KB
2024-10-25 22:02:40
perl-net-netmask-2.0003-r0.apk
13.52KB
2025-05-18 07:10:16
perl-net-netmask-doc-2.0003-r0.apk
8.55KB
2025-05-18 07:10:16
perl-net-patricia-1.24-r0.apk
20.60KB
2025-11-21 05:24:46
perl-net-patricia-doc-1.24-r0.apk
6.29KB
2025-11-21 05:24:46
perl-netaddr-mac-0.99-r0.apk
9.95KB
2025-12-21 09:46:51
perl-netaddr-mac-doc-0.99-r0.apk
8.09KB
2025-12-21 09:46:51
perl-number-format-1.76-r1.apk
15.25KB
2024-10-25 22:02:40
perl-number-format-doc-1.76-r1.apk
9.01KB
2024-10-25 22:02:40
perl-number-tolerant-1.710-r0.apk
14.84KB
2024-10-25 22:02:40
perl-number-tolerant-doc-1.710-r0.apk
25.64KB
2024-10-25 22:02:40
perl-object-signature-1.08-r0.apk
3.68KB
2025-04-04 14:56:59
perl-object-signature-doc-1.08-r0.apk
5.41KB
2025-04-04 14:56:59
perl-openapi-client-1.07-r0.apk
8.65KB
2024-10-25 22:02:40
perl-openapi-client-doc-1.07-r0.apk
7.36KB
2024-10-25 22:02:40
perl-pango-1.227-r12.apk
77.76KB
2025-06-30 11:08:57
perl-pango-doc-1.227-r12.apk
82.37KB
2025-06-30 11:08:57
perl-parse-distname-0.05-r0.apk
5.47KB
2025-07-11 11:46:43
perl-parse-distname-doc-0.05-r0.apk
4.38KB
2025-07-11 11:46:43
perl-path-dispatcher-1.08-r0.apk
14.23KB
2025-06-09 15:05:52
perl-path-dispatcher-doc-1.08-r0.apk
37.73KB
2025-06-09 15:05:52
perl-path-iter-0.2-r3.apk
5.22KB
2024-10-25 22:02:40
perl-path-iter-doc-0.2-r3.apk
5.15KB
2024-10-25 22:02:40
perl-perlio-locale-0.10-r13.apk
4.38KB
2025-06-30 11:08:57
perl-perlio-locale-doc-0.10-r13.apk
3.11KB
2025-06-30 11:08:57
perl-plack-middleware-expires-0.06-r3.apk
3.88KB
2024-10-25 22:02:40
perl-plack-middleware-expires-doc-0.06-r3.apk
3.35KB
2024-10-25 22:02:40
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
3.08KB
2024-12-26 11:36:40
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
3.07KB
2024-12-26 11:36:40
perl-plack-middleware-methodoverride-0.20-r0.apk
3.64KB
2025-03-21 18:21:14
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
4.04KB
2025-03-21 18:21:14
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2.51KB
2024-12-27 16:02:17
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
3.08KB
2024-12-27 16:02:17
perl-plack-middleware-reverseproxy-0.16-r2.apk
3.17KB
2024-10-25 22:02:40
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
3.05KB
2024-10-25 22:02:40
perl-plack-test-externalserver-0.02-r0.apk
2.81KB
2025-03-21 18:21:14
perl-plack-test-externalserver-doc-0.02-r0.apk
3.13KB
2025-03-21 18:21:14
perl-pod-cpandoc-0.16-r6.apk
4.57KB
2024-10-25 22:02:40
perl-pod-cpandoc-doc-0.16-r6.apk
4.90KB
2024-10-25 22:02:40
perl-pod-tidy-0.10-r1.apk
10.38KB
2024-10-25 22:02:40
perl-pod-tidy-doc-0.10-r1.apk
10.55KB
2024-10-25 22:02:40
perl-prereqscanner-notquitelite-0.9917-r0.apk
41.03KB
2025-07-12 16:43:10
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
49.08KB
2025-07-12 16:43:10
perl-regexp-trie-0.02-r0.apk
2.98KB
2025-07-12 10:22:06
perl-regexp-trie-doc-0.02-r0.apk
3.31KB
2025-07-12 10:22:06
perl-session-storage-secure-1.000-r2.apk
8.83KB
2024-10-25 22:02:40
perl-session-storage-secure-doc-1.000-r2.apk
7.40KB
2024-10-25 22:02:40
perl-shell-config-generate-0.34-r0.apk
7.84KB
2025-06-08 19:03:26
perl-shell-config-generate-doc-0.34-r0.apk
6.83KB
2025-06-08 19:03:26
perl-shell-guess-0.10-r0.apk
5.97KB
2025-06-07 17:55:12
perl-shell-guess-doc-0.10-r0.apk
5.78KB
2025-06-07 17:55:12
perl-smart-comments-1.06-r0.apk
12.28KB
2025-07-07 20:02:26
perl-smart-comments-doc-1.06-r0.apk
8.52KB
2025-07-07 20:02:26
perl-snmp-5.0404-r14.apk
69.09KB
2025-06-30 11:08:57
perl-snmp-doc-5.0404-r14.apk
14.10KB
2025-06-30 11:08:57
perl-snmp-info-3.974000-r0.apk
336.95KB
2025-09-12 07:49:57
perl-snmp-info-doc-3.974000-r0.apk
389.58KB
2025-09-12 07:49:57
perl-snowball-norwegian-1.2-r0.apk
5.27KB
2025-06-08 19:03:26
perl-snowball-norwegian-doc-1.2-r0.apk
3.90KB
2025-06-08 19:03:26
perl-snowball-swedish-1.2-r0.apk
5.24KB
2025-06-08 19:03:26
perl-snowball-swedish-doc-1.2-r0.apk
3.86KB
2025-06-08 19:03:26
perl-soap-lite-1.27-r5.apk
110.22KB
2024-10-25 22:02:40
perl-soap-lite-doc-1.27-r5.apk
90.47KB
2024-10-25 22:02:40
perl-software-license-0.104007-r0.apk
106.62KB
2025-07-08 19:53:34
perl-software-license-doc-0.104007-r0.apk
50.44KB
2025-07-08 19:53:34
perl-sort-naturally-1.03-r4.apk
8.67KB
2024-10-25 22:02:40
perl-sort-naturally-doc-1.03-r4.apk
5.47KB
2024-10-25 22:02:40
perl-sql-abstract-classic-1.91-r1.apk
29.52KB
2024-10-25 22:02:40
perl-sql-abstract-classic-doc-1.91-r1.apk
20.23KB
2024-10-25 22:02:40
perl-sql-abstract-more-1.44-r0.apk
27.57KB
2025-07-14 08:59:17
perl-sql-abstract-more-doc-1.44-r0.apk
16.67KB
2025-07-14 08:59:17
perl-starman-0.4017-r0.apk
13.44KB
2024-10-25 22:02:40
perl-starman-doc-0.4017-r0.apk
10.00KB
2024-10-25 22:02:40
perl-statistics-basic-1.6611-r0.apk
9.22KB
2024-10-25 22:02:40
perl-statistics-basic-doc-1.6611-r0.apk
49.78KB
2024-10-25 22:02:40
perl-statistics-descriptive-3.0801-r0.apk
30.30KB
2024-10-25 22:02:40
perl-statistics-descriptive-doc-3.0801-r0.apk
37.51KB
2024-10-25 22:02:40
perl-string-camelcase-0.04-r2.apk
3.19KB
2024-10-25 22:02:40
perl-string-camelcase-doc-0.04-r2.apk
3.46KB
2024-10-25 22:02:40
perl-string-escape-2010.002-r0.apk
8.87KB
2025-04-03 03:11:59
perl-string-escape-doc-2010.002-r0.apk
7.83KB
2025-04-03 03:11:59
perl-string-toidentifier-en-0.12-r0.apk
5.44KB
2025-06-09 15:06:14
perl-string-toidentifier-en-doc-0.12-r0.apk
5.58KB
2025-06-09 15:06:14
perl-syntax-keyword-match-0.15-r1.apk
13.53KB
2025-06-30 11:08:57
perl-syntax-keyword-match-doc-0.15-r1.apk
7.84KB
2025-06-30 11:08:57
perl-syntax-operator-equ-0.10-r1.apk
7.83KB
2025-06-30 11:08:57
perl-syntax-operator-equ-doc-0.10-r1.apk
6.57KB
2025-06-30 11:08:57
perl-sys-virt-11.10.0-r0.apk
209.70KB
2025-12-03 18:17:57
perl-sys-virt-doc-11.10.0-r0.apk
106.49KB
2025-12-03 18:17:57
perl-task-catalyst-4.02-r0.apk
2.95KB
2025-06-15 07:47:03
perl-task-catalyst-doc-4.02-r0.apk
3.68KB
2025-06-15 07:47:03
perl-template-plugin-csv-0.04-r3.apk
2.71KB
2024-10-25 22:02:40
perl-template-plugin-csv-doc-0.04-r3.apk
3.04KB
2024-10-25 22:02:40
perl-template-plugin-number-format-1.06-r4.apk
4.91KB
2024-10-25 22:02:40
perl-template-plugin-number-format-doc-1.06-r4.apk
4.40KB
2024-10-25 22:02:40
perl-template-timer-1.00-r0.apk
3.42KB
2025-04-14 00:31:56
perl-template-timer-doc-1.00-r0.apk
3.66KB
2025-04-14 00:31:56
perl-template-tiny-1.16-r0.apk
5.55KB
2025-07-24 16:19:42
perl-template-tiny-doc-1.16-r0.apk
5.01KB
2025-07-24 16:19:42
perl-term-size-0.211-r5.apk
5.55KB
2025-06-30 11:08:57
perl-term-size-doc-0.211-r5.apk
3.80KB
2025-06-30 11:08:57
perl-term-ui-0.50-r1.apk
9.99KB
2024-10-25 22:02:40
perl-term-ui-doc-0.50-r1.apk
8.50KB
2024-10-25 22:02:40
perl-test-api-0.010-r2.apk
5.09KB
2024-10-25 22:02:40
perl-test-api-doc-0.010-r2.apk
4.25KB
2024-10-25 22:02:40
perl-test-distribution-2.00-r1.apk
7.76KB
2024-10-25 22:02:40
perl-test-distribution-doc-2.00-r1.apk
6.08KB
2024-10-25 22:02:40
perl-test-expect-0.34-r0.apk
3.47KB
2025-04-21 20:35:00
perl-test-expect-doc-0.34-r0.apk
3.57KB
2025-04-21 20:35:00
perl-test-file-1.995-r0.apk
11.41KB
2025-04-19 20:54:02
perl-test-file-doc-1.995-r0.apk
6.79KB
2025-04-19 20:54:02
perl-test-kwalitee-1.28-r0.apk
6.38KB
2025-07-12 16:43:10
perl-test-kwalitee-doc-1.28-r0.apk
6.89KB
2025-07-12 16:43:10
perl-test-modern-0.013-r3.apk
14.63KB
2024-10-25 22:02:40
perl-test-modern-doc-0.013-r3.apk
9.87KB
2024-10-25 22:02:40
perl-test-perl-critic-1.04-r0.apk
6.84KB
2025-07-11 19:50:55
perl-test-perl-critic-doc-1.04-r0.apk
6.41KB
2025-07-11 19:50:55
perl-test-roo-1.004-r3.apk
11.91KB
2024-10-25 22:02:40
perl-test-roo-doc-1.004-r3.apk
15.47KB
2024-10-25 22:02:40
perl-test-trap-0.3.5-r1.apk
19.78KB
2024-10-25 22:02:40
perl-test-trap-doc-0.3.5-r1.apk
19.91KB
2024-10-25 22:02:40
perl-test-useallmodules-0.17-r1.apk
3.82KB
2024-10-25 22:02:40
perl-test-useallmodules-doc-0.17-r1.apk
3.87KB
2024-10-25 22:02:40
perl-test-utf8-1.03-r0.apk
5.57KB
2024-11-16 17:17:04
perl-test-utf8-doc-1.03-r0.apk
4.86KB
2024-11-16 17:17:04
perl-test-www-mechanize-1.60-r0.apk
14.81KB
2025-04-14 00:31:56
perl-test-www-mechanize-catalyst-0.62-r0.apk
7.36KB
2025-04-20 07:32:46
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
6.22KB
2025-04-20 07:32:46
perl-test-www-mechanize-doc-1.60-r0.apk
10.08KB
2025-04-14 00:31:56
perl-text-brew-0.02-r5.apk
4.53KB
2024-10-25 22:02:40
perl-text-brew-doc-0.02-r5.apk
4.14KB
2024-10-25 22:02:40
perl-text-german-0.06-r0.apk
13.09KB
2025-06-07 05:00:16
perl-text-german-doc-0.06-r0.apk
3.04KB
2025-06-07 05:00:16
perl-text-simpletable-2.07-r0.apk
4.46KB
2025-03-21 18:21:14
perl-text-simpletable-doc-2.07-r0.apk
3.45KB
2025-03-21 18:21:14
perl-text-worddiff-0.09-r0.apk
10.43KB
2025-06-10 03:53:07
perl-text-worddiff-doc-0.09-r0.apk
13.68KB
2025-06-10 03:53:07
perl-throwable-1.001-r1.apk
6.22KB
2024-10-25 22:02:40
perl-throwable-doc-1.001-r1.apk
8.01KB
2024-10-25 22:02:40
perl-tie-toobject-0.03-r0.apk
2.60KB
2025-03-21 18:21:14
perl-tie-toobject-doc-0.03-r0.apk
3.17KB
2025-03-21 18:21:14
perl-tree-simple-visitorfactory-0.16-r0.apk
19.91KB
2025-03-21 18:21:14
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
46.18KB
2025-03-21 18:21:14
perl-uri-find-20160806-r0.apk
13.62KB
2025-03-23 09:58:40
perl-uri-find-doc-20160806-r0.apk
9.12KB
2025-03-23 09:58:40
perl-uri-ws-0.03-r0.apk
2.34KB
2025-03-21 18:21:14
perl-uri-ws-doc-0.03-r0.apk
4.33KB
2025-03-21 18:21:14
perl-url-encode-0.03-r4.apk
5.16KB
2024-10-25 22:02:40
perl-url-encode-doc-0.03-r4.apk
4.70KB
2024-10-25 22:02:40
perl-web-machine-0.17-r0.apk
20.28KB
2025-06-13 21:17:32
perl-web-machine-doc-0.17-r0.apk
28.71KB
2025-06-13 21:17:32
perl-web-scraper-0.38-r0.apk
7.53KB
2025-07-11 19:50:39
perl-web-scraper-doc-0.38-r0.apk
8.07KB
2025-07-11 19:50:39
perl-x11-korgwm-5.0-r0.apk
40.66KB
2025-12-13 09:45:50
perl-x11-korgwm-doc-5.0-r0.apk
11.50KB
2025-12-13 09:45:50
perl-xml-bare-0.53-r14.apk
28.02KB
2025-06-30 11:08:57
perl-xml-bare-doc-0.53-r14.apk
11.46KB
2025-06-30 11:08:57
perl-xml-rpc-2.1-r0.apk
5.73KB
2024-10-25 22:02:40
perl-xml-rpc-doc-2.1-r0.apk
4.86KB
2024-10-25 22:02:40
perl-xml-xpathengine-0.14-r0.apk
21.70KB
2025-07-08 19:54:09
perl-xml-xpathengine-doc-0.14-r0.apk
11.03KB
2025-07-08 19:54:09
persistent-cache-cpp-1.0.9-r0.apk
43.71KB
2025-09-08 04:00:29
persistent-cache-cpp-dev-1.0.9-r0.apk
17.84KB
2025-09-08 04:00:29
persistent-cache-cpp-doc-1.0.9-r0.apk
3.19KB
2025-09-08 04:00:29
pest-language-server-0.3.9-r0.apk
1.05MB
2024-10-25 22:02:40
petitboot-1.15-r0.apk
189.01KB
2025-11-15 01:27:38
petitboot-dbg-1.15-r0.apk
592.51KB
2025-11-15 01:27:38
petitboot-doc-1.15-r0.apk
8.06KB
2025-11-15 01:27:38
pfetch-1.9.4-r0.apk
23.26KB
2025-10-23 01:32:48
pfetch-doc-1.9.4-r0.apk
5.72KB
2025-10-23 01:32:48
pgcat-1.2.0-r1.apk
2.61MB
2025-01-01 19:38:11
pgcat-openrc-1.2.0-r1.apk
1.88KB
2025-01-01 19:38:11
phoronix-test-suite-10.8.4-r2.apk
3.95MB
2024-10-25 22:02:40
phoronix-test-suite-bash-completion-10.8.4-r2.apk
1.77KB
2024-10-25 22:02:40
phoronix-test-suite-doc-10.8.4-r2.apk
287.48KB
2024-10-25 22:02:40
phosh-osk-data-0.42.0-r0.apk
1.28KB
2025-12-16 18:43:22
phosh-osk-data-de-0.42.0-r0.apk
64.35MB
2025-12-16 18:43:23
phosh-osk-data-es-0.42.0-r0.apk
52.11MB
2025-12-16 18:43:24
phosh-osk-data-fi-0.42.0-r0.apk
63.68MB
2025-12-16 18:43:25
phosh-osk-data-it-0.42.0-r0.apk
58.04MB
2025-12-16 18:43:25
phosh-osk-data-nl-0.42.0-r0.apk
53.55MB
2025-12-16 18:43:26
phosh-osk-data-pl-0.42.0-r0.apk
56.70MB
2025-12-16 18:43:27
phosh-osk-data-pt-0.42.0-r0.apk
56.73MB
2025-12-16 18:43:27
phosh-osk-data-ru-0.42.0-r0.apk
19.92MB
2025-12-16 18:43:28
phosh-osk-data-se-0.42.0-r0.apk
15.09MB
2025-12-16 18:43:28
phosh-osk-data-uk-0.42.0-r0.apk
16.37MB
2025-12-16 18:43:28
phosh-tour-0.52.0-r0.apk
38.81KB
2026-01-04 18:13:42
phosh-tour-lang-0.52.0-r0.apk
35.88KB
2026-01-04 18:13:42
phosh-tour-systemd-0.52.0-r0.apk
1.77KB
2026-01-04 18:13:42
php81-8.1.34-r0.apk
1.77MB
2025-12-17 04:27:37
php81-apache2-8.1.34-r0.apk
1.74MB
2025-12-17 04:27:37
php81-bcmath-8.1.34-r0.apk
17.29KB
2025-12-17 04:27:37
php81-bz2-8.1.34-r0.apk
9.70KB
2025-12-17 04:27:37
php81-calendar-8.1.34-r0.apk
12.07KB
2025-12-17 04:27:37
php81-cgi-8.1.34-r0.apk
1.75MB
2025-12-17 04:27:37
php81-common-8.1.34-r0.apk
25.16KB
2025-12-17 04:27:37
php81-ctype-8.1.34-r0.apk
4.66KB
2025-12-17 04:27:37
php81-curl-8.1.34-r0.apk
33.47KB
2025-12-17 04:27:37
php81-dba-8.1.34-r0.apk
22.02KB
2025-12-17 04:27:37
php81-dev-8.1.34-r0.apk
938.86KB
2025-12-17 04:27:37
php81-doc-8.1.34-r0.apk
68.33KB
2025-12-17 04:27:37
php81-dom-8.1.34-r0.apk
58.52KB
2025-12-17 04:27:37
php81-embed-8.1.34-r0.apk
1.73MB
2025-12-17 04:27:37
php81-enchant-8.1.34-r0.apk
8.31KB
2025-12-17 04:27:37
php81-exif-8.1.34-r0.apk
28.83KB
2025-12-17 04:27:37
php81-ffi-8.1.34-r0.apk
66.10KB
2025-12-17 04:27:37
php81-fileinfo-8.1.34-r0.apk
377.24KB
2025-12-17 04:27:37
php81-fpm-8.1.34-r0.apk
1.82MB
2025-12-17 04:27:37
php81-ftp-8.1.34-r0.apk
22.52KB
2025-12-17 04:27:37
php81-gd-8.1.34-r0.apk
123.20KB
2025-12-17 04:27:37
php81-gettext-8.1.34-r0.apk
5.63KB
2025-12-17 04:27:37
php81-gmp-8.1.34-r0.apk
20.03KB
2025-12-17 04:27:37
php81-iconv-8.1.34-r0.apk
17.19KB
2025-12-17 04:27:37
php81-imap-8.1.34-r0.apk
32.01KB
2025-12-17 04:27:37
php81-intl-8.1.34-r0.apk
133.13KB
2025-12-17 04:27:37
php81-ldap-8.1.34-r0.apk
30.31KB
2025-12-17 04:27:37
php81-litespeed-8.1.34-r0.apk
1.76MB
2025-12-17 04:27:37
php81-mbstring-8.1.34-r0.apk
570.17KB
2025-12-17 04:27:37
php81-mysqli-8.1.34-r0.apk
39.27KB
2025-12-17 04:27:37
php81-mysqlnd-8.1.34-r0.apk
76.92KB
2025-12-17 04:27:37
php81-odbc-8.1.34-r0.apk
22.58KB
2025-12-17 04:27:37
php81-opcache-8.1.34-r0.apk
373.91KB
2025-12-17 04:27:37
php81-openssl-8.1.34-r0.apk
69.99KB
2025-12-17 04:27:37
php81-pcntl-8.1.34-r0.apk
12.64KB
2025-12-17 04:27:37
php81-pdo-8.1.34-r0.apk
39.59KB
2025-12-17 04:27:37
php81-pdo_dblib-8.1.34-r0.apk
11.71KB
2025-12-17 04:27:37
php81-pdo_mysql-8.1.34-r0.apk
12.74KB
2025-12-17 04:27:37
php81-pdo_odbc-8.1.34-r0.apk
12.14KB
2025-12-17 04:27:37
php81-pdo_pgsql-8.1.34-r0.apk
18.55KB
2025-12-17 04:27:37
php81-pdo_sqlite-8.1.34-r0.apk
12.22KB
2025-12-17 04:27:37
php81-pear-8.1.34-r0.apk
337.89KB
2025-12-17 04:27:37
php81-pecl-amqp-2.2.0-r0.apk
52.71KB
2026-01-03 05:16:44
php81-pecl-apcu-5.1.28-r0.apk
53.93KB
2025-12-07 20:05:22
php81-pecl-ast-1.1.3-r0.apk
19.26KB
2025-08-10 20:43:34
php81-pecl-brotli-0.18.3-r0.apk
14.32KB
2025-12-01 04:45:33
php81-pecl-couchbase-4.3.0-r0.apk
5.14MB
2025-06-15 06:57:46
php81-pecl-csv-0.4.3-r0.apk
9.77KB
2025-02-25 18:30:11
php81-pecl-decimal-1.5.0-r1.apk
17.49KB
2024-10-25 22:02:41
php81-pecl-ds-1.6.0-r0.apk
55.47KB
2025-05-10 06:12:17
php81-pecl-event-3.1.4-r0.apk
48.02KB
2024-10-25 22:02:41
php81-pecl-grpc-1.76.0-r0.apk
4.31MB
2025-10-24 22:20:36
php81-pecl-igbinary-3.2.17_rc1-r0.apk
33.46KB
2025-11-27 23:27:34
php81-pecl-imagick-3.8.1-r0.apk
102.08KB
2025-11-28 02:06:23
php81-pecl-imagick-dev-3.8.1-r0.apk
2.29KB
2025-11-28 02:06:23
php81-pecl-immutable_cache-6.1.0-r0.apk
39.91KB
2024-10-25 22:02:41
php81-pecl-jsmin-3.0.0-r0.apk
10.16KB
2024-10-25 22:02:41
php81-pecl-luasandbox-4.1.2-r0.apk
28.81KB
2024-10-25 22:02:41
php81-pecl-lzf-1.7.0-r0.apk
7.01KB
2024-10-25 22:02:41
php81-pecl-mailparse-3.1.9-r0.apk
22.47KB
2025-09-30 15:38:51
php81-pecl-maxminddb-1.13.0-r0.apk
7.79KB
2025-11-21 06:18:15
php81-pecl-mcrypt-1.0.9-r0.apk
14.25KB
2025-08-05 15:42:10
php81-pecl-memcache-8.2-r1.apk
40.87KB
2024-10-25 22:02:41
php81-pecl-memcached-3.4.0-r0.apk
44.83KB
2025-10-13 13:34:42
php81-pecl-memprof-3.1.0-r0.apk
13.10KB
2025-02-24 19:23:27
php81-pecl-mongodb-2.1.4-r0.apk
808.15KB
2025-10-09 10:23:40
php81-pecl-msgpack-3.0.0-r0.apk
26.14KB
2024-10-25 22:02:41
php81-pecl-oauth-2.0.10-r0.apk
34.14KB
2025-10-09 21:38:23
php81-pecl-opentelemetry-1.2.1-r0.apk
12.27KB
2025-10-05 02:14:55
php81-pecl-pcov-1.0.12-r0.apk
9.14KB
2024-12-04 19:17:42
php81-pecl-protobuf-4.32.1-r0.apk
143.25KB
2025-10-01 04:59:37
php81-pecl-psr-1.2.0-r0.apk
16.60KB
2024-10-25 22:02:41
php81-pecl-rdkafka-6.0.5-r0.apk
35.18KB
2024-11-04 14:52:10
php81-pecl-redis-6.3.0-r0.apk
180.33KB
2025-11-08 01:32:37
php81-pecl-smbclient-1.2.0_pre-r0.apk
20.06KB
2024-12-10 21:02:07
php81-pecl-ssh2-1.4.1-r0.apk
26.73KB
2024-10-25 22:02:41
php81-pecl-swoole-6.1.6-r0.apk
926.19KB
2025-12-28 22:30:42
php81-pecl-swoole-dev-6.1.6-r0.apk
220.25KB
2025-12-28 22:30:42
php81-pecl-timezonedb-2025.2-r0.apk
189.26KB
2025-03-27 13:06:42
php81-pecl-uploadprogress-2.0.2-r1.apk
6.36KB
2024-10-25 22:02:41
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
9.93KB
2024-10-25 22:02:41
php81-pecl-uuid-1.3.0-r0.apk
6.28KB
2025-05-14 03:10:45
php81-pecl-vips-1.0.13-r0.apk
15.61KB
2024-10-25 22:02:41
php81-pecl-xdebug-3.5.0-r0.apk
153.65KB
2025-12-05 00:56:58
php81-pecl-xhprof-2.3.10-r0.apk
12.13KB
2024-10-25 22:02:41
php81-pecl-xhprof-assets-2.3.10-r0.apk
800.61KB
2024-10-25 22:02:41
php81-pecl-xlswriter-1.5.8-r0.apk
221.52KB
2024-11-11 03:44:34
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
35.12KB
2024-10-25 22:02:41
php81-pecl-yaml-2.3.0-r0.apk
17.87KB
2025-11-14 23:57:59
php81-pecl-zephir_parser-1.8.0-r0.apk
62.86KB
2025-09-29 15:34:24
php81-pecl-zstd-0.15.2-r0.apk
16.30KB
2025-09-09 23:06:17
php81-pgsql-8.1.34-r0.apk
43.44KB
2025-12-17 04:27:37
php81-phar-8.1.34-r0.apk
120.37KB
2025-12-17 04:27:37
php81-phpdbg-8.1.34-r0.apk
1.82MB
2025-12-17 04:27:37
php81-posix-8.1.34-r0.apk
10.66KB
2025-12-17 04:27:37
php81-pspell-8.1.34-r0.apk
7.83KB
2025-12-17 04:27:37
php81-session-8.1.34-r0.apk
35.38KB
2025-12-17 04:27:37
php81-shmop-8.1.34-r0.apk
5.86KB
2025-12-17 04:27:37
php81-simplexml-8.1.34-r0.apk
22.01KB
2025-12-17 04:27:37
php81-snmp-8.1.34-r0.apk
20.08KB
2025-12-17 04:27:37
php81-soap-8.1.34-r0.apk
133.50KB
2025-12-17 04:27:37
php81-sockets-8.1.34-r0.apk
34.17KB
2025-12-17 04:27:37
php81-sodium-8.1.34-r0.apk
25.32KB
2025-12-17 04:27:37
php81-spx-0.4.21-r0.apk
105.14KB
2025-10-09 10:23:40
php81-sqlite3-8.1.34-r0.apk
19.94KB
2025-12-17 04:27:37
php81-sysvmsg-8.1.34-r0.apk
7.32KB
2025-12-17 04:27:37
php81-sysvsem-8.1.34-r0.apk
5.55KB
2025-12-17 04:27:37
php81-sysvshm-8.1.34-r0.apk
6.43KB
2025-12-17 04:27:37
php81-tideways_xhprof-5.0.4-r1.apk
12.89KB
2024-10-25 22:02:41
php81-tidy-8.1.34-r0.apk
17.84KB
2025-12-17 04:27:37
php81-tokenizer-8.1.34-r0.apk
11.01KB
2025-12-17 04:27:37
php81-xml-8.1.34-r0.apk
18.46KB
2025-12-17 04:27:37
php81-xmlreader-8.1.34-r0.apk
12.79KB
2025-12-17 04:27:37
php81-xmlwriter-8.1.34-r0.apk
11.19KB
2025-12-17 04:27:37
php81-xsl-8.1.34-r0.apk
12.55KB
2025-12-17 04:27:37
php81-zip-8.1.34-r0.apk
24.26KB
2025-12-17 04:27:37
php82-8.2.30-r1.apk
1.81MB
2025-12-17 22:10:40
php82-apache2-8.2.30-r1.apk
1.78MB
2025-12-17 22:10:40
php82-bcmath-8.2.30-r1.apk
17.30KB
2025-12-17 22:10:40
php82-bz2-8.2.30-r1.apk
9.66KB
2025-12-17 22:10:40
php82-calendar-8.2.30-r1.apk
12.15KB
2025-12-17 22:10:40
php82-cgi-8.2.30-r1.apk
1.79MB
2025-12-17 22:10:40
php82-common-8.2.30-r1.apk
25.26KB
2025-12-17 22:10:40
php82-ctype-8.2.30-r1.apk
4.64KB
2025-12-17 22:10:40
php82-curl-8.2.30-r1.apk
35.32KB
2025-12-17 22:10:40
php82-dba-8.2.30-r1.apk
22.83KB
2025-12-17 22:10:40
php82-dbg-8.2.30-r1.apk
40.61MB
2025-12-17 22:10:41
php82-dev-8.2.30-r1.apk
968.11KB
2025-12-17 22:10:41
php82-doc-8.2.30-r1.apk
73.17KB
2025-12-17 22:10:41
php82-dom-8.2.30-r1.apk
59.67KB
2025-12-17 22:10:41
php82-embed-8.2.30-r1.apk
1.77MB
2025-12-17 22:10:41
php82-enchant-8.2.30-r1.apk
8.30KB
2025-12-17 22:10:41
php82-exif-8.2.30-r1.apk
28.92KB
2025-12-17 22:10:41
php82-ffi-8.2.30-r1.apk
67.43KB
2025-12-17 22:10:41
php82-fileinfo-8.2.30-r1.apk
377.64KB
2025-12-17 22:10:41
php82-fpm-8.2.30-r1.apk
1.86MB
2025-12-17 22:10:41
php82-ftp-8.2.30-r1.apk
22.86KB
2025-12-17 22:10:41
php82-gd-8.2.30-r1.apk
122.93KB
2025-12-17 22:10:41
php82-gettext-8.2.30-r1.apk
5.67KB
2025-12-17 22:10:41
php82-gmp-8.2.30-r1.apk
20.64KB
2025-12-17 22:10:41
php82-iconv-8.2.30-r1.apk
17.62KB
2025-12-17 22:10:41
php82-imap-8.2.30-r1.apk
32.23KB
2025-12-17 22:10:41
php82-intl-8.2.30-r1.apk
149.97KB
2025-12-17 22:10:41
php82-ldap-8.2.30-r1.apk
30.39KB
2025-12-17 22:10:41
php82-litespeed-8.2.30-r1.apk
1.80MB
2025-12-17 22:10:41
php82-mbstring-8.2.30-r1.apk
628.30KB
2025-12-17 22:10:41
php82-mysqli-8.2.30-r1.apk
40.16KB
2025-12-17 22:10:41
php82-mysqlnd-8.2.30-r1.apk
77.07KB
2025-12-17 22:10:41
php82-odbc-8.2.30-r1.apk
23.52KB
2025-12-17 22:10:41
php82-opcache-8.2.30-r1.apk
369.25KB
2025-12-17 22:10:41
php82-openssl-8.2.30-r1.apk
71.18KB
2025-12-17 22:10:41
php82-pcntl-8.2.30-r1.apk
14.09KB
2025-12-17 22:10:41
php82-pdlib-1.1.0-r1.apk
483.33KB
2024-10-25 22:02:41
php82-pdo-8.2.30-r1.apk
41.54KB
2025-12-17 22:10:41
php82-pdo_dblib-8.2.30-r1.apk
11.70KB
2025-12-17 22:10:41
php82-pdo_mysql-8.2.30-r1.apk
12.75KB
2025-12-17 22:10:41
php82-pdo_odbc-8.2.30-r1.apk
12.71KB
2025-12-17 22:10:41
php82-pdo_pgsql-8.2.30-r1.apk
18.65KB
2025-12-17 22:10:41
php82-pdo_sqlite-8.2.30-r1.apk
12.19KB
2025-12-17 22:10:41
php82-pear-8.2.30-r1.apk
337.90KB
2025-12-17 22:10:41
php82-pecl-amqp-2.2.0-r0.apk
52.71KB
2026-01-03 05:16:44
php82-pecl-apcu-5.1.28-r0.apk
54.21KB
2025-12-07 20:05:22
php82-pecl-apfd-1.0.3-r0.apk
4.32KB
2024-10-25 22:02:41
php82-pecl-ast-1.1.3-r0.apk
19.40KB
2025-10-24 17:48:23
php82-pecl-brotli-0.18.3-r0.apk
14.34KB
2025-12-01 04:45:33
php82-pecl-couchbase-4.3.0-r0.apk
5.19MB
2025-10-24 17:48:24
php82-pecl-decimal-1.5.0-r1.apk
17.36KB
2025-10-24 17:48:24
php82-pecl-ds-1.6.0-r0.apk
55.41KB
2025-10-24 17:48:24
php82-pecl-event-3.1.4-r0.apk
47.50KB
2025-10-24 17:48:24
php82-pecl-excimer-1.2.5-r0.apk
19.26KB
2025-05-20 18:41:50
php82-pecl-grpc-1.76.0-r0.apk
4.31MB
2025-10-24 22:20:36
php82-pecl-igbinary-3.2.17_rc1-r0.apk
33.78KB
2025-11-27 23:27:34
php82-pecl-imagick-3.8.1-r0.apk
102.14KB
2025-11-28 02:06:23
php82-pecl-imagick-dev-3.8.1-r0.apk
2.30KB
2025-11-28 02:06:23
php82-pecl-immutable_cache-6.1.0-r0.apk
40.07KB
2024-10-25 22:02:41
php82-pecl-jsmin-3.0.0-r0.apk
10.15KB
2024-10-25 22:02:41
php82-pecl-luasandbox-4.1.2-r0.apk
28.78KB
2025-10-24 17:48:24
php82-pecl-lzf-1.7.0-r0.apk
6.96KB
2025-10-24 17:48:24
php82-pecl-mailparse-3.1.9-r0.apk
22.51KB
2025-10-24 17:48:24
php82-pecl-maxminddb-1.13.0-r0.apk
7.80KB
2025-11-21 06:18:15
php82-pecl-mcrypt-1.0.9-r0.apk
14.27KB
2025-10-24 17:48:24
php82-pecl-memcache-8.2-r2.apk
40.83KB
2025-10-24 17:48:24
php82-pecl-memcached-3.4.0-r0.apk
45.06KB
2025-10-24 17:48:24
php82-pecl-mongodb-2.1.4-r0.apk
808.26KB
2025-10-24 17:48:24
php82-pecl-msgpack-3.0.0-r0.apk
26.37KB
2025-10-24 17:48:24
php82-pecl-oauth-2.0.10-r0.apk
34.33KB
2025-10-09 21:38:23
php82-pecl-opentelemetry-1.2.1-r0.apk
12.30KB
2025-10-24 17:48:24
php82-pecl-pcov-1.0.12-r0.apk
9.22KB
2025-10-24 17:48:24
php82-pecl-protobuf-4.32.1-r0.apk
143.32KB
2025-10-24 17:48:24
php82-pecl-psr-1.2.0-r1.apk
16.13KB
2025-10-24 17:48:24
php82-pecl-rdkafka-6.0.5-r0.apk
35.26KB
2025-10-24 17:48:24
php82-pecl-redis-6.3.0-r0.apk
181.60KB
2025-11-08 01:32:37
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
29.28KB
2024-10-25 22:02:41
php82-pecl-smbclient-1.2.0_pre-r0.apk
20.12KB
2025-10-24 17:48:24
php82-pecl-ssh2-1.4.1-r0.apk
26.48KB
2025-10-24 17:48:24
php82-pecl-swoole-6.1.6-r0.apk
928.62KB
2025-12-28 22:30:42
php82-pecl-swoole-dev-6.1.6-r0.apk
220.23KB
2025-12-28 22:30:42
php82-pecl-teds-1.3.0-r0.apk
122.85KB
2024-10-25 22:02:41
php82-pecl-timezonedb-2025.2-r0.apk
189.31KB
2025-10-24 17:48:24
php82-pecl-uploadprogress-2.0.2-r2.apk
6.34KB
2025-10-24 17:48:24
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
9.59KB
2025-10-24 17:48:24
php82-pecl-uuid-1.3.0-r0.apk
6.25KB
2025-10-24 17:48:24
php82-pecl-vips-1.0.13-r0.apk
15.71KB
2025-10-24 17:48:24
php82-pecl-vld-0.19.1-r0.apk
15.00KB
2025-07-20 16:27:54
php82-pecl-xdebug-3.5.0-r0.apk
153.69KB
2025-12-05 00:56:58
php82-pecl-xhprof-2.3.10-r0.apk
12.10KB
2025-10-24 17:48:24
php82-pecl-xhprof-assets-2.3.10-r0.apk
799.87KB
2025-10-24 17:48:24
php82-pecl-xlswriter-1.5.8-r0.apk
220.56KB
2025-10-24 17:48:24
php82-pecl-yaml-2.3.0-r0.apk
17.96KB
2025-11-14 23:57:59
php82-pecl-zephir_parser-1.8.0-r0.apk
62.89KB
2025-09-29 15:34:24
php82-pecl-zstd-0.15.2-r0.apk
16.34KB
2025-10-24 17:48:24
php82-pgsql-8.2.30-r1.apk
43.70KB
2025-12-17 22:10:41
php82-phar-8.2.30-r1.apk
120.76KB
2025-12-17 22:10:41
php82-phpdbg-8.2.30-r1.apk
1.86MB
2025-12-17 22:10:41
php82-posix-8.2.30-r1.apk
10.68KB
2025-12-17 22:10:41
php82-pspell-8.2.30-r1.apk
7.81KB
2025-12-17 22:10:41
php82-session-8.2.30-r1.apk
36.17KB
2025-12-17 22:10:41
php82-shmop-8.2.30-r1.apk
5.83KB
2025-12-17 22:10:41
php82-simplexml-8.2.30-r1.apk
22.05KB
2025-12-17 22:10:41
php82-snappy-0.2.3-r0.apk
5.01KB
2025-04-10 15:16:00
php82-snmp-8.2.30-r1.apk
20.93KB
2025-12-17 22:10:41
php82-soap-8.2.30-r1.apk
135.20KB
2025-12-17 22:10:41
php82-sockets-8.2.30-r1.apk
34.99KB
2025-12-17 22:10:41
php82-sodium-8.2.30-r1.apk
26.17KB
2025-12-17 22:10:41
php82-spx-0.4.21-r0.apk
105.12KB
2025-10-24 17:48:24
php82-sqlite3-8.2.30-r1.apk
21.10KB
2025-12-17 22:10:41
php82-sysvmsg-8.2.30-r1.apk
7.55KB
2025-12-17 22:10:41
php82-sysvsem-8.2.30-r1.apk
5.52KB
2025-12-17 22:10:41
php82-sysvshm-8.2.30-r1.apk
6.45KB
2025-12-17 22:10:41
php82-tidy-8.2.30-r1.apk
18.33KB
2025-12-17 22:10:41
php82-tokenizer-8.2.30-r1.apk
10.91KB
2025-12-17 22:10:41
php82-xml-8.2.30-r1.apk
18.49KB
2025-12-17 22:10:41
php82-xmlreader-8.2.30-r1.apk
13.18KB
2025-12-17 22:10:41
php82-xmlwriter-8.2.30-r1.apk
11.22KB
2025-12-17 22:10:41
php82-xsl-8.2.30-r1.apk
12.53KB
2025-12-17 22:10:41
php82-zip-8.2.30-r1.apk
26.56KB
2025-12-17 22:10:41
php83-pecl-apfd-1.0.3-r0.apk
4.32KB
2024-10-25 22:02:41
php83-pecl-eio-3.1.4-r0.apk
27.57KB
2025-07-20 18:26:34
php83-pecl-ev-1.2.2-r0.apk
39.57KB
2025-11-08 01:32:37
php83-pecl-excimer-1.2.5-r0.apk
19.28KB
2025-05-20 18:41:50
php83-pecl-jsmin-3.0.0-r0.apk
10.16KB
2024-10-25 22:02:41
php83-pecl-oauth-2.0.10-r0.apk
34.39KB
2025-10-09 21:38:23
php83-pecl-phpy-1.0.11-r1.apk
39.75KB
2025-05-14 03:10:45
php83-pecl-uv-0.3.0-r0.apk
48.82KB
2024-10-25 22:02:41
php83-pecl-vld-0.19.1-r0.apk
15.01KB
2025-07-20 16:27:54
php83-pecl-zmq-1.1.4-r0.apk
30.48KB
2024-10-25 22:02:41
php84-pecl-csv-0.4.3-r0.apk
9.72KB
2025-02-25 18:30:11
php84-pecl-ev-1.2.2-r0.apk
39.61KB
2025-11-08 01:32:37
php84-pecl-mcrypt-1.0.9-r0.apk
14.29KB
2025-08-05 15:42:10
php84-pecl-memprof-3.1.0-r0.apk
13.12KB
2025-02-24 19:23:27
php84-pecl-oauth-2.0.10-r0.apk
34.42KB
2025-10-09 21:38:23
php84-pecl-phpy-1.0.11-r1.apk
39.75KB
2025-05-14 03:10:45
php84-pecl-runkit7-4.0.0_alpha6-r0.apk
31.30KB
2025-12-30 23:15:21
php84-pecl-solr-2.9.1-r0.apk
87.45KB
2025-11-25 07:10:40
php84-pecl-uv-0.3.0-r0.apk
48.88KB
2024-10-28 14:47:11
php84-pecl-vld-0.19.1-r0.apk
15.25KB
2025-07-20 16:27:54
php84-snappy-0.2.3-r0.apk
5.01KB
2025-04-10 15:16:00
php85-pecl-ev-1.2.2-r0.apk
39.62KB
2025-11-08 01:32:37
php85-pecl-luasandbox-4.1.3-r0.apk
29.15KB
2025-12-20 13:45:31
php85-pecl-oauth-2.0.10-r0.apk
35.51KB
2025-10-09 21:38:23
php85-pecl-runkit7-4.0.0_alpha6-r0.apk
31.34KB
2025-12-30 23:15:21
php85-pecl-solr-2.9.1-r0.apk
87.54KB
2025-11-25 07:10:40
php85-pecl-vld-0.19.1-r1.apk
15.24KB
2025-09-24 16:21:58
php85-snappy-0.2.3-r0.apk
4.96KB
2025-12-25 02:03:49
phpactor-2025.10.17.0-r0.apk
3.58MB
2025-11-19 06:05:50
pick-4.0.0-r0.apk
9.89KB
2024-10-25 22:02:42
pick-doc-4.0.0-r0.apk
3.32KB
2024-10-25 22:02:42
pict-rs-0.5.19-r1.apk
5.80MB
2025-10-14 17:16:20
pict-rs-openrc-0.5.19-r1.apk
1.89KB
2025-10-14 17:16:20
pidif-0.1-r1.apk
159.29KB
2024-10-25 22:02:43
piglit-0_git20241106-r1.apk
88.62MB
2025-05-14 03:10:46
pihole-6.2.3-r0.apk
5.60MB
2025-06-20 21:05:08
pihole-bash-completion-6.2.3-r0.apk
2.18KB
2025-06-20 21:05:08
pihole-doc-6.2.3-r0.apk
3.75KB
2025-06-20 21:05:08
pihole-openrc-6.2.3-r0.apk
1.84KB
2025-06-20 21:05:08
pikchr-cmd-1.0.0-r0.apk
44.01KB
2025-10-25 13:43:49
pikchr-cmd-doc-1.0.0-r0.apk
2.24KB
2025-10-25 13:43:49
piler-1.4.7-r0.apk
2.14MB
2025-01-16 12:17:41
piler-openrc-1.4.7-r0.apk
2.19KB
2025-01-16 12:17:41
pimd-3.0_git20220201-r0.apk
85.66KB
2024-10-25 22:02:44
pimd-dense-2.1.0-r0.apk
53.30KB
2024-10-25 22:02:44
pimd-dense-doc-2.1.0-r0.apk
19.68KB
2024-10-25 22:02:44
pimd-dense-openrc-2.1.0-r0.apk
1.85KB
2024-10-25 22:02:44
pimd-doc-3.0_git20220201-r0.apk
34.76KB
2024-10-25 22:02:44
pimd-openrc-3.0_git20220201-r0.apk
1.62KB
2024-10-25 22:02:44
pinentry-bemenu-0.14.0-r1.apk
8.16KB
2025-07-06 03:17:11
piper-phonemize-2023.11.14.4-r9.apk
9.00MB
2025-07-12 19:07:47
piper-phonemize-dev-2023.11.14.4-r9.apk
394.23KB
2025-07-12 19:07:47
piper-phonemize-libs-2023.11.14.4-r9.apk
68.55KB
2025-07-12 19:07:47
piper-tts-2023.11.14.2-r14.apk
130.99KB
2025-07-12 19:07:47
piper-tts-dev-2023.11.14.2-r14.apk
141.03KB
2025-07-12 19:07:47
piping-server-0.18.0-r0.apk
1.47MB
2024-10-25 22:02:44
piping-server-openrc-0.18.0-r0.apk
1.79KB
2024-10-25 22:02:44
pithos-1.6.1-r0.apk
104.46KB
2024-10-25 22:02:44
pithos-doc-1.6.1-r0.apk
2.09KB
2024-10-25 22:02:44
pithos-pyc-1.6.1-r0.apk
154.28KB
2024-10-25 22:02:44
pitivi-2023.03-r2.apk
2.68MB
2024-12-23 05:30:19
pitivi-lang-2023.03-r2.apk
678.27KB
2024-12-23 05:30:19
pitivi-pyc-2023.03-r2.apk
700.16KB
2024-12-23 05:30:19
pixi-0.24.2-r0.apk
8.82MB
2024-10-25 22:02:45
pixi-bash-completion-0.24.2-r0.apk
7.16KB
2024-10-25 22:02:45
pixi-doc-0.24.2-r0.apk
6.87KB
2024-10-25 22:02:45
pixi-fish-completion-0.24.2-r0.apk
10.08KB
2024-10-25 22:02:45
pixi-zsh-completion-0.24.2-r0.apk
10.43KB
2024-10-25 22:02:45
pixiewps-1.4.2-r2.apk
50.70KB
2025-05-14 03:10:46
pixiewps-doc-1.4.2-r2.apk
3.40KB
2025-05-14 03:10:46
plakar-1.0.6-r1.apk
19.58MB
2025-12-05 00:48:50
plakar-doc-1.0.6-r1.apk
2.08KB
2025-12-05 00:48:50
planarity-4.0.1.0-r0.apk
22.53KB
2025-10-19 08:35:34
planarity-dev-4.0.1.0-r0.apk
23.11KB
2025-10-19 08:35:34
planarity-doc-4.0.1.0-r0.apk
13.10KB
2025-10-19 08:35:34
planarity-libs-4.0.1.0-r0.apk
74.09KB
2025-10-19 08:35:34
planner-0.14.92-r1.apk
344.65KB
2024-12-08 23:48:43
planner-doc-0.14.92-r1.apk
2.16KB
2024-12-08 23:48:43
planner-lang-0.14.92-r1.apk
824.58KB
2024-12-08 23:48:43
platformio-core-6.1.7-r3.apk
262.50KB
2024-10-25 22:02:45
platformio-core-pyc-6.1.7-r3.apk
551.78KB
2024-10-25 22:02:45
please-0.5.6-r0.apk
1.01MB
2025-08-29 11:32:51
please-build-17.22.0-r1.apk
7.63MB
2025-12-05 00:48:50
please-build-bash-completion-17.22.0-r1.apk
1.94KB
2025-12-05 00:48:50
please-build-tools-17.22.0-r1.apk
11.49MB
2025-12-05 00:48:51
please-build-zsh-completion-17.22.0-r1.apk
1.95KB
2025-12-05 00:48:51
please-doc-0.5.6-r0.apk
16.05KB
2025-08-29 11:32:51
plfit-1.0.1-r0.apk
46.68KB
2025-01-04 05:47:48
plfit-dev-1.0.1-r0.apk
6.48KB
2025-01-04 05:47:48
plfit-libs-1.0.1-r0.apk
35.34KB
2025-01-04 05:47:48
plfit-static-1.0.1-r0.apk
41.52KB
2025-01-04 05:47:48
plib-1.8.5-r3.apk
848.86KB
2024-10-25 22:02:45
plots-0.7.0-r1.apk
515.72KB
2024-11-06 11:41:19
plplot-5.15.0-r2.apk
31.28KB
2024-10-25 22:02:45
plplot-dev-5.15.0-r2.apk
58.99KB
2024-10-25 22:02:45
plplot-doc-5.15.0-r2.apk
310.57KB
2024-10-25 22:02:45
plplot-libs-5.15.0-r2.apk
199.64KB
2024-10-25 22:02:45
pmccabe-2.8-r1.apk
23.33KB
2024-10-25 22:02:45
pmccabe-doc-2.8-r1.apk
7.15KB
2024-10-25 22:02:45
pneink-theme-1.3-r0.apk
9.84KB
2025-07-30 03:21:11
pneink-theme-doc-1.3-r0.apk
1.65KB
2025-07-30 03:21:11
pnmixer-0.7.2-r3.apk
140.80KB
2024-10-25 22:02:45
pnmixer-doc-0.7.2-r3.apk
2.30KB
2024-10-25 22:02:45
pnmixer-lang-0.7.2-r3.apk
24.81KB
2024-10-25 22:02:45
pokoy-0.2.5-r0.apk
11.17KB
2024-10-25 22:02:45
pokoy-doc-0.2.5-r0.apk
3.00KB
2024-10-25 22:02:45
policycoreutils-3.6-r1.apk
53.52KB
2024-10-25 22:02:45
policycoreutils-bash-completion-3.6-r1.apk
2.41KB
2024-10-25 22:02:45
policycoreutils-doc-3.6-r1.apk
22.32KB
2024-10-25 22:02:45
policycoreutils-lang-3.6-r1.apk
105.39KB
2024-10-25 22:02:45
polycule-0.3.4-r0.apk
29.33MB
2025-11-16 19:55:57
pomo-0.8.1-r28.apk
1.75MB
2025-12-05 00:48:51
pomo-doc-0.8.1-r28.apk
2.74KB
2025-12-05 00:48:51
pongoos-loader-0_git20210704-r1.apk
2.37KB
2024-10-25 22:02:45
pop-cursor-theme-3.5.1-r0.apk
12.82MB
2025-03-25 09:55:08
pop-icon-theme-3.5.1-r0.apk
1.27MB
2025-03-25 09:55:08
popeye-0.22.1-r9.apk
28.76MB
2025-12-05 00:48:51
porla-0.41.0-r2.apk
3.69MB
2025-02-24 19:12:48
porla-doc-0.41.0-r2.apk
2.19KB
2025-02-24 19:12:48
porla-openrc-0.41.0-r2.apk
2.69KB
2025-02-24 19:12:48
portsmf-239-r2.apk
55.71KB
2025-10-13 23:02:26
portsmf-dev-239-r2.apk
20.00KB
2025-10-13 23:02:26
postgresql-hll-2.18-r0.apk
26.28KB
2024-10-25 22:02:46
postgresql-hll-bitcode-2.18-r0.apk
55.99KB
2024-10-25 22:02:46
postgresql-pg_later-0.0.14-r1.apk
610.26KB
2024-10-25 22:02:46
postgresql-pg_variables-1.2.5_git20230922-r1.apk
23.29KB
2025-11-03 18:16:45
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
45.49KB
2025-11-03 18:16:45
postgresql-pgmq-1.1.1-r1.apk
259.62KB
2024-10-25 22:02:46
postgresql16-wal2json-2.6-r0.apk
70.00KB
2024-10-25 22:02:46
pounce-3.1-r4.apk
27.84KB
2025-09-13 01:22:43
pounce-doc-3.1-r4.apk
8.54KB
2025-09-13 01:22:43
pounce-openrc-3.1-r4.apk
2.75KB
2025-09-13 01:22:43
powder-toy-97.0.352-r1.apk
816.28KB
2024-10-25 22:02:46
powerctl-1.1-r6.apk
90.43KB
2025-04-18 19:34:27
powerctl-doc-1.1-r6.apk
3.21KB
2025-04-18 19:34:27
powerstat-0.04.01-r0.apk
19.51KB
2024-10-25 22:02:46
powerstat-bash-completion-0.04.01-r0.apk
2.29KB
2024-10-25 22:02:46
powerstat-doc-0.04.01-r0.apk
4.26KB
2024-10-25 22:02:46
pptpclient-1.10.0-r6.apk
31.85KB
2025-06-30 11:08:57
pptpclient-doc-1.10.0-r6.apk
7.21KB
2025-06-30 11:08:57
pqiv-2.12-r1.apk
66.63KB
2024-10-25 22:02:46
pqiv-doc-2.12-r1.apk
12.02KB
2024-10-25 22:02:46
predict-2.3.1-r0.apk
99.86KB
2024-11-24 12:45:02
predict-doc-2.3.1-r0.apk
16.08KB
2024-11-24 12:45:02
primecount-7.20-r0.apk
29.42KB
2025-11-25 21:08:57
primecount-dev-7.20-r0.apk
2.31MB
2025-11-25 21:08:57
primecount-doc-7.20-r0.apk
4.21KB
2025-11-25 21:08:57
primecount-libs-7.20-r0.apk
171.92KB
2025-11-25 21:08:57
primesieve-12.10-r0.apk
44.34KB
2025-11-25 21:08:57
primesieve-dev-12.10-r0.apk
1.40MB
2025-11-25 21:08:57
primesieve-doc-12.10-r0.apk
4.06KB
2025-11-25 21:08:57
primesieve-libs-12.10-r0.apk
126.11KB
2025-11-25 21:08:57
prjtrellis-1.4-r2.apk
1.19MB
2024-10-25 22:02:47
prjtrellis-db-0_git20230929-r0.apk
3.30KB
2024-10-25 22:02:47
prjtrellis-db-ecp5-0_git20230929-r0.apk
2.13MB
2024-10-25 22:02:47
prjtrellis-db-machxo-0_git20230929-r0.apk
39.20KB
2024-10-25 22:02:47
prjtrellis-db-machxo2-0_git20230929-r0.apk
0.99MB
2024-10-25 22:02:47
prjtrellis-db-machxo3-0_git20230929-r0.apk
1.07MB
2024-10-25 22:02:47
prjtrellis-db-machxo3d-0_git20230929-r0.apk
747.75KB
2024-10-25 22:02:47
projectm-3.1.12-r2.apk
432.69KB
2024-10-25 22:02:47
projectm-dev-3.1.12-r2.apk
605.60KB
2024-10-25 22:02:47
projectm-presets-3.1.12-r2.apk
4.35MB
2024-10-25 22:02:47
projectm-pulseaudio-3.1.12-r2.apk
402.49KB
2024-10-25 22:02:47
projectm-pulseaudio-doc-3.1.12-r2.apk
2.00KB
2024-10-25 22:02:47
projectm-sdl-3.1.12-r2.apk
316.12KB
2024-10-25 22:02:47
projectsandcastle-loader-0_git20200307-r1.apk
4.96KB
2024-10-25 22:02:47
prometheus-ceph-exporter-4.2.5-r9.apk
3.78MB
2025-12-05 00:48:51
prometheus-ceph-exporter-openrc-4.2.5-r9.apk
1.90KB
2025-12-05 00:48:51
prometheus-fastly-exporter-10.2.0-r0.apk
5.00MB
2025-12-23 23:01:51
prometheus-opnsense-exporter-0.0.11-r2.apk
5.02MB
2025-12-05 00:48:51
prometheus-opnsense-exporter-openrc-0.0.11-r2.apk
2.09KB
2025-12-05 00:48:51
prometheus-podman-exporter-1.18.1-r2.apk
15.50MB
2025-12-05 00:48:51
prometheus-rethinkdb-exporter-1.0.1-r33.apk
4.40MB
2025-12-05 00:48:51
prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk
1.67KB
2025-12-05 00:48:51
prometheus-smartctl-exporter-0.14.0-r5.apk
4.87MB
2025-12-05 00:48:51
prometheus-smartctl-exporter-openrc-0.14.0-r5.apk
1.89KB
2025-12-05 00:48:51
prometheus-smtp2go-exporter-0.1.1-r4.apk
3.60MB
2025-12-05 00:48:51
prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk
1.98KB
2025-12-05 00:48:51
prometheus-unbound-exporter-0.4.6-r5.apk
3.81MB
2025-05-14 03:10:47
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
1.96KB
2025-05-14 03:10:47
proot-5.4.0-r1.apk
74.81KB
2024-10-25 22:02:48
proot-doc-5.4.0-r1.apk
10.30KB
2024-10-25 22:02:48
proot-static-5.4.0-r1.apk
110.60KB
2024-10-25 22:02:48
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2.81KB
2024-10-25 22:02:48
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
1.81KB
2024-10-25 22:02:48
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2.64KB
2024-10-25 22:02:48
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
1.76KB
2024-10-25 22:02:48
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
3.11KB
2024-10-25 22:02:48
prosody-mod-broadcast-0.11_hg20201208-r0.apk
1.85KB
2024-10-25 22:02:48
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 22:02:48
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
7.21KB
2024-10-25 22:02:48
prosody-mod-conversejs-0.11_hg20201208-r0.apk
3.27KB
2024-10-25 22:02:48
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2.83KB
2024-10-25 22:02:48
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2.89KB
2024-10-25 22:02:48
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
1.96KB
2024-10-25 22:02:48
prosody-mod-log_auth-0.11_hg20201208-r0.apk
1.76KB
2024-10-25 22:02:48
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2.18KB
2024-10-25 22:02:48
prosody-mod-mam-0.11_hg20201208-r0.apk
5.88KB
2024-10-25 22:02:48
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
5.55KB
2024-10-25 22:02:48
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
6.86KB
2024-10-25 22:02:48
prosody-mod-pastebin-0.11_hg20201208-r0.apk
3.72KB
2024-10-25 22:02:48
prosody-mod-register_json-0.11_hg20201208-r0.apk
103.51KB
2024-10-25 22:02:48
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2.71KB
2024-10-25 22:02:48
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 22:02:48
prosody-mod-require_otr-0.11_hg20201208-r0.apk
1.71KB
2024-10-25 22:02:48
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2.01KB
2024-10-25 22:02:48
prosody-mod-saslname-0.11_hg20201208-r0.apk
1.61KB
2024-10-25 22:02:48
prosody-mod-server_status-0.11_hg20201208-r0.apk
2.77KB
2024-10-25 22:02:48
prosody-mod-smacks-0.11_hg20201208-r0.apk
8.58KB
2024-10-25 22:02:48
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2.04KB
2024-10-25 22:02:48
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2.02KB
2024-10-25 22:02:48
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2.66KB
2024-10-25 22:02:48
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2.64KB
2024-10-25 22:02:48
prosody-modules-0.11_hg20201208-r0.apk
1.45KB
2024-10-25 22:02:48
protoc-gen-bq-schema-3.1.0-r0.apk
1.71MB
2025-12-24 17:23:32
protoc-gen-doc-1.5.1-r0.apk
3.67MB
2026-01-05 19:24:15
protoc-gen-doc-doc-1.5.1-r0.apk
2.27KB
2026-01-05 19:24:15
protoc-gen-go-1.36.11-r0.apk
2.40MB
2026-01-12 23:27:16
protoc-gen-go-grpc-1.77.0-r0.apk
2.31MB
2025-12-22 17:14:02
protoc-gen-gorm-1.1.5-r0.apk
2.53MB
2025-12-24 17:02:01
protoc-gen-js-4.0.1-r0.apk
1.71MB
2025-11-20 12:14:34
protoconf-0.1.7-r18.apk
7.98MB
2025-12-05 00:48:52
proverif-2.05-r1.apk
1.55MB
2026-01-12 00:38:46
proverif-emacs-2.05-r1.apk
2.88KB
2026-01-12 00:38:46
proverif-examples-2.05-r1.apk
167.70KB
2026-01-12 00:38:46
proverif-interact-2.05-r1.apk
1.85MB
2026-01-12 00:38:46
proverif-utils-2.05-r1.apk
458.38KB
2026-01-12 00:38:46
prowlarr-2.3.0.5236-r0.apk
19.09MB
2025-12-13 19:16:04
prowlarr-openrc-2.3.0.5236-r0.apk
2.03KB
2025-12-13 19:16:04
psftools-1.1.2-r0.apk
190.47KB
2024-10-25 22:02:48
psftools-dev-1.1.2-r0.apk
78.32KB
2024-10-25 22:02:48
psftools-doc-1.1.2-r0.apk
59.64KB
2024-10-25 22:02:48
psi-notify-1.3.1-r0.apk
10.65KB
2024-10-25 22:02:48
psi-plus-1.5.2096-r0.apk
8.99MB
2025-09-30 15:51:35
psi-plus-plugins-1.5.2096-r0.apk
1.84MB
2025-09-30 15:51:35
pspp-2.0.1-r1.apk
9.93MB
2025-10-06 14:28:57
pspp-dbg-2.0.1-r1.apk
4.32MB
2025-10-06 14:28:57
pspp-doc-2.0.1-r1.apk
9.00KB
2025-10-06 14:28:57
psst-0_git20240526-r1.apk
7.68MB
2024-10-25 22:02:49
ptcpdump-0.37.0-r1.apk
11.95MB
2025-12-05 00:48:52
ptouch-print-1.7-r0.apk
27.52KB
2025-09-13 19:23:35
ptouch-print-doc-1.7-r0.apk
3.13KB
2025-09-13 19:23:35
ptpd-2.3.1-r1.apk
172.36KB
2024-10-25 22:02:49
ptpd-doc-2.3.1-r1.apk
20.29KB
2024-10-25 22:02:49
ptpd-openrc-2.3.1-r1.apk
2.39KB
2024-10-25 22:02:49
ptylie-0.2-r2.apk
10.97KB
2025-05-14 03:10:48
ptylie-doc-0.2-r2.apk
3.16KB
2025-05-14 03:10:48
pug-0.6.5-r0.apk
3.65MB
2025-12-05 00:48:52
pully-1.0.0-r0.apk
2.53KB
2024-10-25 22:02:49
pully-openrc-1.0.0-r0.apk
1.72KB
2024-10-25 22:02:49
pulsar-client-cpp-3.7.1-r0.apk
1.37MB
2025-06-12 16:59:29
pulsar-client-cpp-dev-3.7.1-r0.apk
64.46KB
2025-06-12 16:59:29
pulseview-0.4.2-r8.apk
941.50KB
2024-10-25 22:02:49
pulseview-doc-0.4.2-r8.apk
3.66KB
2024-10-25 22:02:49
pulumi-watch-0.1.5-r2.apk
814.89KB
2024-10-25 22:02:49
punch-0.1.1-r0.apk
29.57KB
2025-12-10 01:50:28
punch-pyc-0.1.1-r0.apk
30.53KB
2025-12-10 01:50:28
pure-1.23.0-r0.apk
18.32KB
2025-10-20 16:50:45
pure-data-0.55.2-r0.apk
1.67MB
2025-06-29 20:18:52
pure-data-dev-0.55.2-r0.apk
52.60KB
2025-06-29 20:18:52
pure-data-doc-0.55.2-r0.apk
5.38MB
2025-06-29 20:18:53
pure-data-libs-0.55.2-r0.apk
642.48KB
2025-06-29 20:18:53
pure-doc-1.23.0-r0.apk
7.92KB
2025-10-20 16:50:45
purple-facebook-0.9.6-r0.apk
77.52KB
2024-10-25 22:02:49
purple-hangouts-0_git20200422-r0.apk
227.48KB
2024-10-25 22:02:49
pw-volume-0.5.0-r1.apk
322.07KB
2024-10-25 22:02:49
pwauth-2.3.11-r2.apk
3.77KB
2024-10-25 22:02:49
pwauth-doc-2.3.11-r2.apk
6.80KB
2024-10-25 22:02:49
pwndbg-2025.10.20-r0.apk
654.09KB
2025-12-31 16:52:55
pwndbg-doc-2025.10.20-r0.apk
3.80KB
2025-12-31 16:52:55
pwndbg-pyc-2025.10.20-r0.apk
1.23MB
2025-12-31 16:52:55
pwntools-4.15.0-r0.apk
3.15MB
2025-12-31 16:52:55
pwntools-doc-4.15.0-r0.apk
37.52KB
2025-12-31 16:52:55
pwntools-pyc-4.15.0-r0.apk
1.68MB
2025-12-31 16:52:55
pwru-1.0.7-r10.apk
3.49MB
2025-12-05 00:48:52
pwvucontrol-0.5.0-r0.apk
438.28KB
2025-10-21 00:45:40
pwvucontrol-dbg-0.5.0-r0.apk
1.93MB
2025-10-21 00:45:40
pwvucontrol-lang-0.5.0-r0.apk
11.32KB
2025-10-21 00:45:40
pxalarm-3.0.0-r0.apk
2.88KB
2024-10-25 22:02:49
pxmenu-1.0.0-r1.apk
2.88KB
2024-10-25 22:02:49
py-spy-0.3.14-r3.apk
1.04MB
2024-10-25 22:02:49
py-spy-bash-completion-0.3.14-r3.apk
2.35KB
2024-10-25 22:02:49
py-spy-doc-0.3.14-r3.apk
2.28KB
2024-10-25 22:02:49
py-spy-fish-completion-0.3.14-r3.apk
2.63KB
2024-10-25 22:02:49
py-spy-zsh-completion-0.3.14-r3.apk
3.08KB
2024-10-25 22:02:49
py3-actdiag-3.0.0-r5.apk
17.27KB
2024-10-25 22:02:49
py3-actdiag-pyc-3.0.0-r5.apk
21.49KB
2024-10-25 22:02:49
py3-aesedb-0.1.8-r0.apk
34.48KB
2025-11-03 10:48:46
py3-aesedb-examples-0.1.8-r0.apk
3.42KB
2025-11-03 10:48:46
py3-aesedb-pyc-0.1.8-r0.apk
74.96KB
2025-11-03 10:48:46
py3-agithub-2.2.2-r7.apk
18.84KB
2025-03-21 18:21:17
py3-agithub-pyc-2.2.2-r7.apk
21.28KB
2025-03-21 18:21:17
py3-aiodocker-0.21.0-r1.apk
29.31KB
2024-10-25 22:02:49
py3-aiodocker-pyc-0.21.0-r1.apk
60.38KB
2024-10-25 22:02:49
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
445.91KB
2024-10-25 22:02:49
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
51.19KB
2024-10-25 22:02:49
py3-aiohttp-jinja2-1.6-r2.apk
12.39KB
2024-10-25 22:02:49
py3-aiohttp-jinja2-pyc-1.6-r2.apk
9.23KB
2024-10-25 22:02:49
py3-aiohttp-remotes-1.3.0-r0.apk
10.02KB
2024-11-04 14:28:09
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
18.86KB
2024-11-04 14:28:09
py3-aiohttp-session-2.12.1-r0.apk
10.43KB
2024-10-25 22:02:49
py3-aiohttp-session-pyc-2.12.1-r0.apk
14.79KB
2024-10-25 22:02:49
py3-aioopenssl-0.6.0-r4.apk
20.82KB
2024-10-25 22:02:49
py3-aioopenssl-pyc-0.6.0-r4.apk
19.13KB
2024-10-25 22:02:49
py3-aiosasl-0.5.0-r4.apk
29.58KB
2024-10-25 22:02:49
py3-aiosasl-doc-0.5.0-r4.apk
16.45KB
2024-10-25 22:02:49
py3-aiosasl-pyc-0.5.0-r4.apk
23.93KB
2024-10-25 22:02:49
py3-aiosmb-0.4.14-r0.apk
585.43KB
2025-11-03 10:48:46
py3-aiosmb-examples-0.4.14-r0.apk
37.53KB
2025-11-03 10:48:46
py3-aiosmb-pyc-0.4.14-r0.apk
1.16MB
2025-11-03 10:48:46
py3-aiowinreg-0.0.13-r0.apk
21.72KB
2025-11-03 10:48:46
py3-aiowinreg-pyc-0.0.13-r0.apk
44.00KB
2025-11-03 10:48:46
py3-aioxmpp-0.13.3-r3.apk
387.68KB
2024-10-25 22:02:49
py3-aioxmpp-doc-0.13.3-r3.apk
18.34KB
2024-10-25 22:02:49
py3-aioxmpp-pyc-0.13.3-r3.apk
673.12KB
2024-10-25 22:02:49
py3-allfiles-1.0-r8.apk
3.57KB
2024-10-25 22:02:49
py3-allfiles-pyc-1.0-r8.apk
3.27KB
2024-10-25 22:02:49
py3-altgraph-0.17.4-r1.apk
20.73KB
2024-10-25 22:02:49
py3-altgraph-pyc-0.17.4-r1.apk
29.14KB
2024-10-25 22:02:49
py3-ansible-pylibssh-1.2.2-r0.apk
243.48KB
2025-07-18 10:51:32
py3-anyascii-0.3.2-r1.apk
274.73KB
2024-10-25 22:02:49
py3-anyascii-pyc-0.3.2-r1.apk
3.33KB
2024-10-25 22:02:49
py3-apicula-0.11.1-r1.apk
8.48MB
2024-10-25 22:02:49
py3-apicula-pyc-0.11.1-r1.apk
179.48KB
2024-10-25 22:02:49
py3-apio-0.9.5-r0.apk
72.36KB
2024-10-25 22:02:49
py3-apio-pyc-0.9.5-r0.apk
77.20KB
2024-10-25 22:02:49
py3-apsw-3.51.1.0-r0.apk
875.03KB
2025-11-30 20:50:58
py3-apsw-pyc-3.51.1.0-r0.apk
585.97KB
2025-11-30 20:50:58
py3-apt-3.1.0-r0.apk
169.05KB
2025-12-21 05:05:48
py3-apt-lang-3.1.0-r0.apk
79.53KB
2025-12-21 05:05:48
py3-apt-pyc-3.1.0-r0.apk
119.01KB
2025-12-21 05:05:48
py3-arcus-5.3.0-r5.apk
84.45KB
2025-06-12 16:59:29
py3-asif-0.3.2-r3.apk
13.35KB
2024-10-25 22:02:49
py3-asif-pyc-0.3.2-r3.apk
25.88KB
2024-10-25 22:02:49
py3-ask-0.0.8-r8.apk
5.00KB
2024-10-25 22:02:49
py3-ask-pyc-0.0.8-r8.apk
4.47KB
2024-10-25 22:02:49
py3-astral-3.2-r3.apk
37.03KB
2024-10-25 22:02:49
py3-astral-pyc-3.2-r3.apk
58.92KB
2024-10-25 22:02:49
py3-asyauth-0.0.23-r0.apk
84.10KB
2025-11-03 10:48:46
py3-asyauth-pyc-0.0.23-r0.apk
185.72KB
2025-11-03 10:48:46
py3-asysocks-0.2.18-r0.apk
88.31KB
2025-11-03 10:48:46
py3-asysocks-examples-0.2.18-r0.apk
35.65KB
2025-11-03 10:48:46
py3-asysocks-pyc-0.2.18-r0.apk
319.51KB
2025-11-03 10:48:46
py3-avro-1.11.3-r1.apk
97.67KB
2024-10-25 22:02:49
py3-avro-pyc-1.11.3-r1.apk
191.36KB
2024-10-25 22:02:49
py3-b2sdk-2.10.2-r0.apk
227.50KB
2025-12-16 20:41:44
py3-b2sdk-pyc-2.10.2-r0.apk
429.41KB
2025-12-16 20:41:44
py3-banal-1.0.6-r4.apk
6.87KB
2024-10-25 22:02:49
py3-banal-pyc-1.0.6-r4.apk
7.21KB
2024-10-25 22:02:49
py3-barcodenumber-0.2.1-r10.apk
16.33KB
2024-10-25 22:02:49
py3-barcodenumber-pyc-0.2.1-r10.apk
4.26KB
2024-10-25 22:02:49
py3-beartype-0.22.9-r0.apk
1.02MB
2025-12-15 20:33:31
py3-beartype-pyc-0.22.9-r0.apk
744.24KB
2025-12-15 20:33:31
py3-bencode-4.0.0-r1.apk
17.09KB
2024-10-25 22:02:49
py3-bencode-pyc-4.0.0-r1.apk
10.46KB
2024-10-25 22:02:49
py3-bibtexparser-1.4.3-r0.apk
40.24KB
2025-01-19 16:15:02
py3-bibtexparser-pyc-1.4.3-r0.apk
48.78KB
2025-01-19 16:15:02
py3-bidict-0.23.1-r1.apk
27.72KB
2024-10-25 22:02:49
py3-bidict-pyc-0.23.1-r1.apk
28.76KB
2024-10-25 22:02:49
py3-bite-parser-0.2.5-r0.apk
13.64KB
2024-10-28 23:51:27
py3-bite-parser-pyc-0.2.5-r0.apk
23.49KB
2024-10-28 23:51:27
py3-bitstruct-8.19.0-r1.apk
34.04KB
2024-10-25 22:02:49
py3-bitstruct-pyc-8.19.0-r1.apk
12.78KB
2024-10-25 22:02:49
py3-bleak-0.22.3-r0.apk
369.82KB
2024-10-25 22:02:49
py3-blockchain-1.4.4-r7.apk
10.97KB
2024-10-25 22:02:49
py3-blockchain-pyc-1.4.4-r7.apk
17.86KB
2024-10-25 22:02:49
py3-blockdiag-3.0.0-r6.apk
68.02KB
2025-01-10 19:03:06
py3-blockdiag-pyc-3.0.0-r6.apk
148.83KB
2025-01-10 19:03:06
py3-blockdiag-tests-3.0.0-r6.apk
2.51MB
2025-01-10 19:03:06
py3-bookkeeper-4.17.2-r0.apk
43.01KB
2025-07-14 00:44:07
py3-bookkeeper-pyc-4.17.2-r0.apk
66.69KB
2025-07-14 00:44:07
py3-bottle-api-0.0.4-r7.apk
4.88KB
2024-10-25 22:02:49
py3-bottle-api-pyc-0.0.4-r7.apk
5.21KB
2024-10-25 22:02:49
py3-bottle-pgsql-0.2-r5.apk
4.27KB
2024-10-25 22:02:49
py3-bottle-redis-0.2.3-r6.apk
3.30KB
2024-10-25 22:02:49
py3-bottle-redis-pyc-0.2.3-r6.apk
3.13KB
2024-10-25 22:02:49
py3-bottle-renderer-0.1.1-r9.apk
3.99KB
2024-10-25 22:02:49
py3-bottle-renderer-pyc-0.1.1-r9.apk
3.74KB
2024-10-25 22:02:49
py3-bottle-request-0.2.0-r9.apk
3.21KB
2024-10-25 22:02:49
py3-bottle-request-pyc-0.2.0-r9.apk
2.60KB
2024-10-25 22:02:49
py3-bottle-rest-0.6.0-r1.apk
6.11KB
2024-10-25 22:02:49
py3-bottle-rest-pyc-0.6.0-r1.apk
5.18KB
2024-10-25 22:02:49
py3-bottle-session-1.0-r6.apk
10.20KB
2024-10-25 22:02:49
py3-bottle-session-pyc-1.0-r6.apk
7.80KB
2024-10-25 22:02:49
py3-bottle-sqlalchemy-0.4.3-r8.apk
4.85KB
2024-10-25 22:02:49
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
5.63KB
2024-10-25 22:02:49
py3-bottle-sqlite-0.2.0-r7.apk
4.70KB
2024-10-25 22:02:49
py3-bottle-sqlite-pyc-0.2.0-r7.apk
5.24KB
2024-10-25 22:02:49
py3-bottle-websocket-0.2.9-r8.apk
4.64KB
2024-10-25 22:02:49
py3-bottle-websocket-pyc-0.2.9-r8.apk
3.13KB
2024-10-25 22:02:49
py3-bottle-werkzeug-0.1.1-r9.apk
4.10KB
2024-10-25 22:02:49
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
4.25KB
2024-10-25 22:02:49
py3-bson-0.5.10-r6.apk
11.69KB
2024-10-25 22:02:49
py3-bson-pyc-0.5.10-r6.apk
18.50KB
2024-10-25 22:02:49
py3-businesstime-0.3.0-r9.apk
10.63KB
2024-10-25 22:02:49
py3-businesstime-pyc-0.3.0-r9.apk
16.34KB
2024-10-25 22:02:49
py3-c3d-0.5.2-r1.apk
32.07KB
2024-10-25 22:02:49
py3-c3d-pyc-0.5.2-r1.apk
53.70KB
2024-10-25 22:02:49
py3-caldav-2.2.3-r0.apk
112.74KB
2025-12-07 21:14:00
py3-caldav-pyc-2.2.3-r0.apk
153.93KB
2025-12-07 21:14:00
py3-cassandra-driver-3.29.2-r0.apk
285.84KB
2024-10-25 22:02:49
py3-cassandra-driver-pyc-3.29.2-r0.apk
559.95KB
2024-10-25 22:02:49
py3-catkin-pkg-0.5.2-r4.apk
57.15KB
2024-10-25 22:02:49
py3-catkin-pkg-pyc-0.5.2-r4.apk
102.64KB
2024-10-25 22:02:49
py3-cchardet-2.1.7-r5.apk
122.44KB
2024-10-25 22:02:49
py3-cchardet-pyc-2.1.7-r5.apk
3.05KB
2024-10-25 22:02:49
py3-cdio-2.1.1-r6.apk
97.92KB
2025-01-20 23:15:46
py3-cdio-pyc-2.1.1-r6.apk
43.15KB
2025-01-20 23:15:46
py3-certauth-1.3.0-r1.apk
8.71KB
2024-10-25 22:02:49
py3-certauth-pyc-1.3.0-r1.apk
9.09KB
2024-10-25 22:02:49
py3-chameleon-4.6.0-r0.apk
97.14KB
2025-07-06 12:16:30
py3-chameleon-pyc-4.6.0-r0.apk
130.63KB
2025-07-06 12:16:30
py3-ciso8601-2.3.3-r0.apk
15.61KB
2025-11-11 05:03:43
py3-cjkwrap-2.2-r6.apk
4.51KB
2025-05-14 22:18:19
py3-cjkwrap-pyc-2.2-r6.apk
5.25KB
2025-05-14 22:18:19
py3-clang-next-22.0.0_pre20251226-r0.apk
34.33KB
2025-12-27 12:35:31
py3-clang-next-pyc-22.0.0_pre20251226-r0.apk
61.50KB
2025-12-27 12:35:31
py3-class-doc-1.25-r1.apk
6.06KB
2024-10-25 22:02:49
py3-class-doc-pyc-1.25-r1.apk
8.71KB
2024-10-25 22:02:49
py3-click-completion-0.5.2-r1.apk
10.79KB
2024-10-25 22:02:49
py3-click-completion-pyc-0.5.2-r1.apk
14.24KB
2024-10-25 22:02:49
py3-click-default-group-1.2.4-r1.apk
5.15KB
2024-10-25 22:02:49
py3-click-default-group-pyc-1.2.4-r1.apk
4.53KB
2024-10-25 22:02:49
py3-click-threading-0.5.0-r5.apk
6.35KB
2024-10-25 22:02:49
py3-click-threading-pyc-0.5.0-r5.apk
7.85KB
2024-10-25 22:02:49
py3-clickclick-20.10.2-r4.apk
7.95KB
2024-10-25 22:02:49
py3-clickclick-pyc-20.10.2-r4.apk
9.78KB
2024-10-25 22:02:49
py3-cmd2-2.4.3-r2.apk
139.42KB
2024-10-25 22:02:49
py3-cmd2-pyc-2.4.3-r2.apk
222.61KB
2024-10-25 22:02:49
py3-cobs-1.2.0-r4.apk
18.74KB
2024-10-25 22:02:49
py3-cobs-pyc-1.2.0-r4.apk
12.45KB
2024-10-25 22:02:49
py3-colander-2.0-r2.apk
62.47KB
2024-10-25 22:02:49
py3-colander-pyc-2.0-r2.apk
42.48KB
2024-10-25 22:02:49
py3-colorthief-0.2.1-r1.apk
7.31KB
2024-10-25 22:02:49
py3-colorthief-pyc-0.2.1-r1.apk
10.05KB
2024-10-25 22:02:49
py3-columnize-0.3.11-r4.apk
8.53KB
2024-10-25 22:02:49
py3-columnize-pyc-0.3.11-r4.apk
7.48KB
2024-10-25 22:02:49
py3-compdb-0.2.0-r8.apk
23.31KB
2024-10-25 22:02:49
py3-compdb-doc-0.2.0-r8.apk
3.04KB
2024-10-25 22:02:49
py3-compdb-pyc-0.2.0-r8.apk
39.63KB
2024-10-25 22:02:49
py3-confluent-kafka-2.9.0-r0.apk
183.36KB
2025-05-15 12:51:29
py3-confluent-kafka-pyc-2.9.0-r0.apk
226.83KB
2025-05-15 12:51:29
py3-confusable-homoglyphs-3.3.1-r0.apk
137.14KB
2025-09-26 15:58:48
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
9.36KB
2025-09-26 15:58:48
py3-cookiecutter-2.6.0-r1.apk
35.37KB
2024-10-25 22:02:49
py3-cookiecutter-doc-2.6.0-r1.apk
3.73KB
2024-10-25 22:02:49
py3-cookiecutter-pyc-2.6.0-r1.apk
47.50KB
2024-10-25 22:02:49
py3-coreapi-2.3.3-r9.apk
22.23KB
2024-10-25 22:02:49
py3-coreapi-pyc-2.3.3-r9.apk
43.27KB
2024-10-25 22:02:49
py3-crc16-0.1.1-r10.apk
11.55KB
2024-10-25 22:02:49
py3-crc16-pyc-0.1.1-r10.apk
4.71KB
2024-10-25 22:02:49
py3-createrepo_c-1.1.4-r1.apk
40.90KB
2025-12-30 22:57:53
py3-createrepo_c-pyc-1.1.4-r1.apk
14.55KB
2025-12-30 22:57:53
py3-criu-3.19-r3.apk
49.21KB
2025-06-12 16:59:29
py3-criu-pyc-3.19-r3.apk
72.24KB
2025-06-12 16:59:29
py3-croniter-6.0.0-r0.apk
25.80KB
2025-08-25 12:05:30
py3-croniter-pyc-6.0.0-r0.apk
25.58KB
2025-08-25 12:05:30
py3-cryptg-0.5.0-r0.apk
178.27KB
2025-05-10 06:12:17
py3-cryptg-pyc-0.5.0-r0.apk
1.91KB
2025-05-10 06:12:17
py3-cssutils-2.11.1-r1.apk
155.20KB
2024-10-25 22:02:49
py3-cssutils-pyc-2.11.1-r1.apk
278.65KB
2024-10-25 22:02:49
py3-cstruct-6.1-r0.apk
23.17KB
2025-08-22 02:06:33
py3-cstruct-pyc-6.1-r0.apk
37.63KB
2025-08-22 02:06:33
py3-cucumber-tag-expressions-6.1.1-r0.apk
8.67KB
2024-12-01 22:13:56
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
10.43KB
2024-12-01 22:13:56
py3-cvxpy-1.2.1-r5.apk
670.32KB
2024-10-25 22:02:49
py3-cvxpy-pyc-1.2.1-r5.apk
936.08KB
2024-10-25 22:02:49
py3-cython-test-exception-raiser-25.11.0-r0.apk
17.74KB
2025-11-11 21:23:03
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
1.84KB
2025-11-11 21:23:03
py3-dash-2.18.2-r0.apk
7.43MB
2025-04-14 00:31:58
py3-dash-bootstrap-components-1.6.0-r0.apk
16.49KB
2025-04-14 00:31:58
py3-dataclasses-json-0.6.7-r0.apk
27.41KB
2024-10-25 22:02:49
py3-dataclasses-json-pyc-0.6.7-r0.apk
35.66KB
2024-10-25 22:02:49
py3-dataclasses-serialization-1.3.1-r3.apk
10.96KB
2024-10-25 22:02:49
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
14.27KB
2024-10-25 22:02:49
py3-dateparser-1.2.2-r0.apk
216.22KB
2025-07-06 22:46:21
py3-dateparser-pyc-1.2.2-r0.apk
335.20KB
2025-07-06 22:46:21
py3-daterangestr-0.0.3-r8.apk
4.35KB
2024-10-25 22:02:49
py3-daterangestr-pyc-0.0.3-r8.apk
4.22KB
2024-10-25 22:02:49
py3-dbus-fast-3.1.2-r0.apk
793.01KB
2025-11-23 23:15:35
py3-dbus-fast-doc-3.1.2-r0.apk
6.24KB
2025-11-23 23:15:35
py3-dbus-fast-pyc-3.1.2-r0.apk
130.19KB
2025-11-23 23:15:35
py3-deluge-client-1.10.2-r0.apk
12.90KB
2024-10-25 22:02:49
py3-deluge-client-doc-1.10.2-r0.apk
2.25KB
2024-10-25 22:02:49
py3-deluge-client-pyc-1.10.2-r0.apk
19.65KB
2024-10-25 22:02:49
py3-dexml-0.5.1-r9.apk
22.21KB
2024-10-25 22:02:49
py3-dexml-pyc-0.5.1-r9.apk
37.49KB
2024-10-25 22:02:49
py3-discid-1.3.0-r0.apk
12.53KB
2025-07-29 01:12:51
py3-discid-pyc-1.3.0-r0.apk
13.46KB
2025-07-29 01:12:51
py3-distorm3-3.5.2-r6.apk
46.24KB
2024-10-25 22:02:49
py3-distorm3-pyc-3.5.2-r6.apk
48.65KB
2024-10-25 22:02:49
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
14.65KB
2024-10-25 22:02:49
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
14.91KB
2024-10-25 22:02:49
py3-django-suit-0.2.28-r8.apk
365.77KB
2024-10-25 22:02:50
py3-django-suit-pyc-0.2.28-r8.apk
32.50KB
2024-10-25 22:02:50
py3-django-taggit-serializer-0.1.7-r8.apk
4.03KB
2024-10-25 22:02:50
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
4.96KB
2024-10-25 22:02:50
py3-dnslib-0.9.26-r0.apk
56.39KB
2025-09-01 21:15:24
py3-dnslib-pyc-0.9.26-r0.apk
109.07KB
2025-09-01 21:15:24
py3-dogpile.cache-1.3.3-r1.apk
52.58KB
2025-05-14 21:16:03
py3-dogpile.cache-pyc-1.3.3-r1.apk
90.48KB
2025-05-14 21:16:03
py3-doi-0.2-r0.apk
6.17KB
2025-04-14 00:31:58
py3-doi-pyc-0.2-r0.apk
4.74KB
2025-04-14 00:31:58
py3-doit-0.36.0-r5.apk
76.50KB
2024-10-25 22:02:50
py3-doit-pyc-0.36.0-r5.apk
133.25KB
2024-10-25 22:02:50
py3-dominate-2.9.1-r1.apk
24.75KB
2024-10-25 22:02:50
py3-dominate-pyc-2.9.1-r1.apk
33.73KB
2024-10-25 22:02:50
py3-dotty-dict-1.3.1-r4.apk
8.40KB
2024-10-25 22:02:50
py3-dotty-dict-pyc-1.3.1-r4.apk
8.68KB
2024-10-25 22:02:50
py3-downloader-cli-0.3.4-r2.apk
11.17KB
2025-05-14 21:16:03
py3-downloader-cli-pyc-0.3.4-r2.apk
14.12KB
2025-05-14 21:16:03
py3-dpath-2.2.0-r0.apk
17.03KB
2024-10-25 22:02:50
py3-dpath-pyc-2.2.0-r0.apk
17.77KB
2024-10-25 22:02:50
py3-drf-yasg-1.21.10-r0.apk
4.05MB
2025-07-15 11:26:45
py3-drf-yasg-pyc-1.21.10-r0.apk
98.22KB
2025-07-15 11:26:45
py3-duckdb-1.4.3-r0.apk
20.29MB
2026-01-07 02:06:09
py3-duckdb-pyc-1.4.3-r0.apk
159.88KB
2026-01-07 02:06:09
py3-dunamai-1.25.0-r0.apk
26.86KB
2025-07-26 02:16:12
py3-dunamai-pyc-1.25.0-r0.apk
43.85KB
2025-07-26 02:16:12
py3-duniterpy-1.1.1-r3.apk
221.32KB
2024-10-25 22:02:50
py3-dweepy-0.3.0-r7.apk
9.09KB
2024-10-25 22:02:50
py3-dweepy-pyc-0.3.0-r7.apk
6.26KB
2024-10-25 22:02:50
py3-ecbdata-0.1.1-r0.apk
13.47KB
2025-04-14 02:31:29
py3-ecos-2.0.11-r4.apk
27.31KB
2024-10-25 22:02:50
py3-ecos-pyc-2.0.11-r4.apk
3.63KB
2024-10-25 22:02:50
py3-edalize-0.5.4-r0.apk
122.60KB
2024-10-25 22:02:50
py3-edalize-pyc-0.5.4-r0.apk
190.17KB
2024-10-25 22:02:50
py3-editdistance-s-1.0.0-r6.apk
13.11KB
2024-10-25 22:02:50
py3-editdistance-s-pyc-1.0.0-r6.apk
2.02KB
2024-10-25 22:02:50
py3-empy-3.3.4-r7.apk
39.32KB
2024-10-25 22:02:50
py3-empy-pyc-3.3.4-r7.apk
58.50KB
2024-10-25 22:02:50
py3-engineio-4.12.3-r0.apk
48.71KB
2025-11-27 11:35:52
py3-engineio-doc-4.12.3-r0.apk
33.44KB
2025-11-27 11:35:52
py3-engineio-pyc-4.12.3-r0.apk
104.22KB
2025-11-27 11:35:52
py3-enlighten-1.14.1-r0.apk
36.91KB
2025-08-28 23:04:16
py3-enlighten-pyc-1.14.1-r0.apk
46.40KB
2025-08-28 23:04:16
py3-enzyme-0.5.2-r0.apk
22.72KB
2025-07-12 18:29:04
py3-enzyme-pyc-0.5.2-r0.apk
18.80KB
2025-07-12 18:29:04
py3-eradicate-2.3.0-r2.apk
7.57KB
2024-10-25 22:02:50
py3-eradicate-doc-2.3.0-r2.apk
2.52KB
2024-10-25 22:02:50
py3-eradicate-pyc-2.3.0-r2.apk
8.35KB
2024-10-25 22:02:50
py3-euclid3-0.01-r8.apk
14.17KB
2024-10-25 22:02:50
py3-euclid3-pyc-0.01-r8.apk
32.55KB
2024-10-25 22:02:50
py3-evalidate-2.1.3-r0.apk
13.62KB
2025-12-16 17:10:58
py3-evalidate-pyc-2.1.3-r0.apk
12.15KB
2025-12-16 17:10:58
py3-eventlet-0.38.1-r0.apk
332.21KB
2024-12-12 06:50:57
py3-eventlet-pyc-0.38.1-r0.apk
335.64KB
2024-12-12 06:50:57
py3-evohome-client-0.3.9-r0.apk
18.83KB
2025-07-14 00:43:06
py3-evohome-client-pyc-0.3.9-r0.apk
27.30KB
2025-07-14 00:43:06
py3-fastavro-1.12.1-r0.apk
441.13KB
2025-10-14 04:58:56
py3-fastavro-pyc-1.12.1-r0.apk
81.95KB
2025-10-14 04:58:56
py3-fastdiff-0.3.0-r5.apk
38.43KB
2024-10-25 22:02:50
py3-fastdiff-pyc-0.3.0-r5.apk
4.20KB
2024-10-25 22:02:50
py3-feedgen-1.0.0-r1.apk
40.23KB
2024-10-25 22:02:50
py3-feedgen-pyc-1.0.0-r1.apk
61.69KB
2024-10-25 22:02:50
py3-feedgenerator-2.1.0-r2.apk
18.02KB
2024-10-25 22:02:50
py3-feedgenerator-pyc-2.1.0-r2.apk
26.75KB
2024-10-25 22:02:50
py3-ffmpeg-0.2.0-r5.apk
23.63KB
2025-05-14 21:16:03
py3-ffmpeg-pyc-0.2.0-r5.apk
32.61KB
2025-05-14 21:16:03
py3-firmata-1.0.3-r10.apk
14.18KB
2024-10-25 22:02:50
py3-firmata-pyc-1.0.3-r10.apk
20.87KB
2024-10-25 22:02:50
py3-flake8-blind-except-0.2.1-r4.apk
5.20KB
2024-10-25 22:02:50
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2.59KB
2024-10-25 22:02:50
py3-flake8-builtins-2.5.0-r0.apk
12.73KB
2024-12-07 23:51:39
py3-flake8-builtins-pyc-2.5.0-r0.apk
7.87KB
2024-12-07 23:51:39
py3-flake8-copyright-0.2.4-r3.apk
18.24KB
2024-10-25 22:02:50
py3-flake8-copyright-pyc-0.2.4-r3.apk
3.34KB
2024-10-25 22:02:50
py3-flake8-debugger-4.1.2-r4.apk
6.23KB
2024-10-25 22:02:50
py3-flake8-debugger-pyc-4.1.2-r4.apk
5.97KB
2024-10-25 22:02:50
py3-flake8-import-order-0.18.2-r4.apk
15.40KB
2024-10-25 22:02:50
py3-flake8-import-order-pyc-0.18.2-r4.apk
16.77KB
2024-10-25 22:02:50
py3-flake8-isort-7.0.0-r0.apk
17.98KB
2025-10-25 23:13:25
py3-flake8-isort-pyc-7.0.0-r0.apk
5.36KB
2025-10-25 23:13:25
py3-flake8-polyfill-1.0.2-r5.apk
7.07KB
2024-10-25 22:02:50
py3-flake8-polyfill-pyc-1.0.2-r5.apk
5.69KB
2024-10-25 22:02:50
py3-flake8-print-5.0.0-r5.apk
6.72KB
2024-10-25 22:02:50
py3-flake8-print-pyc-5.0.0-r5.apk
4.41KB
2024-10-25 22:02:50
py3-flake8-snippets-0.2-r8.apk
5.32KB
2024-10-25 22:02:50
py3-flake8-snippets-pyc-0.2-r8.apk
3.67KB
2024-10-25 22:02:50
py3-flake8-todo-0.7-r7.apk
3.59KB
2024-10-25 22:02:50
py3-flake8-todo-pyc-0.7-r7.apk
2.23KB
2024-10-25 22:02:50
py3-flask-accept-0.0.7-r0.apk
5.58KB
2025-07-12 18:29:04
py3-flask-accept-pyc-0.0.7-r0.apk
3.68KB
2025-07-12 18:29:04
py3-flask-admin-1.6.1-r3.apk
6.52MB
2024-10-25 22:02:50
py3-flask-admin-pyc-1.6.1-r3.apk
358.29KB
2024-10-25 22:02:50
py3-flask-autorouter-0.2.2-r3.apk
5.09KB
2024-10-25 22:02:50
py3-flask-autorouter-pyc-0.2.2-r3.apk
4.95KB
2024-10-25 22:02:50
py3-flask-basicauth-0.2.0-r9.apk
5.34KB
2024-10-25 22:02:50
py3-flask-basicauth-pyc-0.2.0-r9.apk
4.08KB
2024-10-25 22:02:50
py3-flask-bcrypt-1.0.1-r5.apk
7.14KB
2024-10-25 22:02:50
py3-flask-bcrypt-pyc-1.0.1-r5.apk
5.80KB
2024-10-25 22:02:50
py3-flask-bootstrap-3.3.7.1-r9.apk
448.62KB
2025-05-14 03:10:48
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
10.87KB
2025-05-14 03:10:48
py3-flask-cache-0.13.1-r9.apk
12.77KB
2024-10-25 22:02:50
py3-flask-cache-pyc-0.13.1-r9.apk
18.28KB
2024-10-25 22:02:50
py3-flask-cdn-1.5.3-r8.apk
4.76KB
2024-10-25 22:02:50
py3-flask-cdn-pyc-1.5.3-r8.apk
4.08KB
2024-10-25 22:02:50
py3-flask-components-0.1.1-r9.apk
3.93KB
2024-10-25 22:02:50
py3-flask-components-pyc-0.1.1-r9.apk
3.30KB
2024-10-25 22:02:50
py3-flask-dbconfig-0.3.12-r8.apk
85.69KB
2024-10-25 22:02:50
py3-flask-dbconfig-pyc-0.3.12-r8.apk
6.26KB
2024-10-25 22:02:50
py3-flask-flatpages-0.8.3-r0.apk
10.70KB
2024-12-07 01:00:57
py3-flask-flatpages-pyc-0.8.3-r0.apk
13.65KB
2024-12-07 01:00:57
py3-flask-gzip-0.2-r8.apk
3.18KB
2024-10-25 22:02:50
py3-flask-gzip-pyc-0.2-r8.apk
2.83KB
2024-10-25 22:02:50
py3-flask-headers-1.0-r9.apk
3.21KB
2024-10-25 22:02:50
py3-flask-headers-pyc-1.0-r9.apk
2.46KB
2024-10-25 22:02:50
py3-flask-httpauth-4.8.0-r3.apk
7.84KB
2025-11-29 13:38:07
py3-flask-httpauth-pyc-4.8.0-r3.apk
10.59KB
2025-11-29 13:38:07
py3-flask-json-schema-0.0.5-r4.apk
4.10KB
2024-10-25 22:02:50
py3-flask-json-schema-pyc-0.0.5-r4.apk
3.44KB
2024-10-25 22:02:50
py3-flask-limiter-3.10.1-r0.apk
26.61KB
2025-01-19 18:39:32
py3-flask-limiter-pyc-3.10.1-r0.apk
46.98KB
2025-01-19 18:39:32
py3-flask-loopback-1.4.7-r7.apk
5.57KB
2024-10-25 22:02:50
py3-flask-loopback-pyc-1.4.7-r7.apk
7.92KB
2024-10-25 22:02:50
py3-flask-mailman-1.1.1-r0.apk
16.47KB
2024-10-25 22:02:50
py3-flask-mailman-pyc-1.1.1-r0.apk
25.74KB
2024-10-25 22:02:50
py3-flask-markdown-0.3-r8.apk
5.62KB
2024-10-25 22:02:50
py3-flask-markdown-pyc-0.3-r8.apk
3.86KB
2024-10-25 22:02:50
py3-flask-migrate-4.1.0-r0.apk
13.31KB
2025-07-14 00:53:00
py3-flask-migrate-pyc-4.1.0-r0.apk
18.41KB
2025-07-14 00:53:00
py3-flask-paginate-0.8.1-r6.apk
8.21KB
2024-10-25 22:02:50
py3-flask-paginate-pyc-0.8.1-r6.apk
11.19KB
2024-10-25 22:02:50
py3-flask-peewee-3.0.6-r0.apk
172.04KB
2024-10-25 22:02:50
py3-flask-peewee-pyc-3.0.6-r0.apk
95.47KB
2024-10-25 22:02:50
py3-flask-qrcode-3.2.0-r0.apk
18.03KB
2024-12-12 09:50:54
py3-flask-qrcode-pyc-3.2.0-r0.apk
6.16KB
2024-12-12 09:50:54
py3-flask-restaction-0.25.3-r8.apk
114.85KB
2024-10-25 22:02:50
py3-flask-restaction-pyc-0.25.3-r8.apk
19.81KB
2024-10-25 22:02:50
py3-flask-restless-0.17.0-r9.apk
40.48KB
2024-10-25 22:02:50
py3-flask-restless-pyc-0.17.0-r9.apk
59.06KB
2024-10-25 22:02:50
py3-flask-security-5.6.2-r0.apk
296.74KB
2025-11-10 05:16:41
py3-flask-security-pyc-5.6.2-r0.apk
227.22KB
2025-11-10 05:16:41
py3-flask-socketio-5.5.1-r0.apk
18.34KB
2025-09-06 19:20:42
py3-flask-socketio-doc-5.5.1-r0.apk
23.02KB
2025-09-06 19:20:42
py3-flask-socketio-pyc-5.5.1-r0.apk
25.95KB
2025-09-06 19:20:42
py3-flask-themer-2.0.0-r2.apk
7.90KB
2024-10-25 22:02:50
py3-flask-themer-pyc-2.0.0-r2.apk
6.97KB
2024-10-25 22:02:50
py3-forbiddenfruit-0.1.4-r2.apk
8.96KB
2024-10-25 22:02:50
py3-forbiddenfruit-pyc-0.1.4-r2.apk
9.66KB
2024-10-25 22:02:50
py3-fpdf-1.7.2-r5.apk
39.72KB
2024-10-25 22:02:50
py3-fpdf-pyc-1.7.2-r5.apk
89.18KB
2024-10-25 22:02:50
py3-freetype-py-2.5.1-r0.apk
160.95KB
2024-10-25 22:02:50
py3-furl-2.1.4-r0.apk
27.53KB
2025-07-14 00:52:15
py3-furl-pyc-2.1.4-r0.apk
32.48KB
2025-07-14 00:52:15
py3-gdcm-3.2.2-r3.apk
667.54KB
2025-12-02 08:13:49
py3-geoip-1.3.2-r4.apk
21.94KB
2024-10-25 22:02:50
py3-gevent-websocket-0.10.1-r8.apk
19.74KB
2024-10-25 22:02:50
py3-gevent-websocket-pyc-0.10.1-r8.apk
30.36KB
2024-10-25 22:02:50
py3-git-versioner-7.1-r1.apk
11.96KB
2024-10-25 22:02:50
py3-git-versioner-pyc-7.1-r1.apk
13.51KB
2024-10-25 22:02:50
py3-github3-4.0.1-r1.apk
128.26KB
2024-10-25 22:02:50
py3-github3-pyc-4.0.1-r1.apk
226.98KB
2024-10-25 22:02:50
py3-glob2-0.7-r6.apk
10.27KB
2024-10-25 22:02:50
py3-glob2-pyc-0.7-r6.apk
12.91KB
2024-10-25 22:02:50
py3-gls-1.3.1-r1.apk
46.77KB
2024-10-25 22:02:50
py3-gls-pyc-1.3.1-r1.apk
84.13KB
2024-10-25 22:02:50
py3-google-trans-new-1.1.9-r2.apk
9.23KB
2024-10-25 22:02:50
py3-google-trans-new-pyc-1.1.9-r2.apk
10.58KB
2024-10-25 22:02:50
py3-googletrans-3.0.0-r5.apk
15.48KB
2024-10-25 22:02:50
py3-googletrans-pyc-3.0.0-r5.apk
17.43KB
2024-10-25 22:02:50
py3-grequests-0.7.0-r3.apk
6.72KB
2025-05-14 21:16:03
py3-grequests-pyc-0.7.0-r3.apk
5.84KB
2025-05-14 21:16:03
py3-gtkspellcheck-5.0.3-r1.apk
44.78KB
2025-08-09 19:28:02
py3-gtkspellcheck-pyc-5.0.3-r1.apk
29.62KB
2025-08-09 19:28:02
py3-halo-0.0.31-r5.apk
11.46KB
2024-10-25 22:02:50
py3-halo-pyc-0.0.31-r5.apk
13.92KB
2024-10-25 22:02:50
py3-hatch-openzim-0.2.0-r0.apk
24.51KB
2024-10-25 22:02:50
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
24.18KB
2024-10-25 22:02:50
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
12.69KB
2024-10-25 22:02:50
py3-hatch-openzim-pyc-0.2.0-r0.apk
12.99KB
2024-10-25 22:02:50
py3-helper-2.5.0-r5.apk
18.77KB
2024-10-25 22:02:50
py3-helper-pyc-2.5.0-r5.apk
28.17KB
2024-10-25 22:02:50
py3-hfst-3.16.2-r0.apk
358.32KB
2025-04-03 03:11:59
py3-hg-git-1.1.1-r1.apk
70.10KB
2024-10-25 22:02:50
py3-hg-git-pyc-1.1.1-r1.apk
106.79KB
2024-10-25 22:02:50
py3-highctidh-1.0.2024092800-r0.apk
313.68KB
2024-11-25 22:24:35
py3-highctidh-pyc-1.0.2024092800-r0.apk
11.58KB
2024-11-25 22:24:35
py3-himitsu-0.0.9-r0.apk
5.81KB
2025-08-25 13:57:52
py3-himitsu-pyc-0.0.9-r0.apk
7.54KB
2025-08-25 13:57:52
py3-hishel-0.1.4-r0.apk
76.85KB
2025-10-15 15:53:55
py3-hishel-pyc-0.1.4-r0.apk
143.55KB
2025-10-15 15:53:55
py3-html5-parser-0.4.12-r1.apk
161.70KB
2024-10-25 22:02:50
py3-html5-parser-pyc-0.4.12-r1.apk
22.28KB
2024-10-25 22:02:50
py3-hurry.filesize-0.9-r8.apk
4.61KB
2024-10-25 22:02:50
py3-hurry.filesize-pyc-0.9-r8.apk
3.26KB
2024-10-25 22:02:50
py3-icalendar-searcher-1.0.3-r0.apk
34.95KB
2025-12-07 21:14:00
py3-icalendar-searcher-pyc-1.0.3-r0.apk
25.81KB
2025-12-07 21:14:00
py3-igraph-1.0.0-r0.apk
408.94KB
2025-10-28 03:54:50
py3-igraph-dev-1.0.0-r0.apk
2.60KB
2025-10-28 03:54:50
py3-igraph-pyc-1.0.0-r0.apk
374.39KB
2025-10-28 03:54:50
py3-imageio-2.37.0-r0.apk
285.48KB
2025-07-12 01:13:31
py3-imageio-ffmpeg-0.4.9-r1.apk
16.49KB
2024-10-25 22:02:50
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
20.26KB
2024-10-25 22:02:50
py3-imageio-pyc-2.37.0-r0.apk
503.81KB
2025-07-12 01:13:31
py3-imdbpy-2021.4.18-r5.apk
229.00KB
2024-10-25 22:02:50
py3-imdbpy-pyc-2021.4.18-r5.apk
242.58KB
2024-10-25 22:02:50
py3-incoming-0.3.1-r8.apk
12.83KB
2024-10-25 22:02:50
py3-incoming-pyc-0.3.1-r8.apk
19.95KB
2024-10-25 22:02:50
py3-infinity-1.5-r6.apk
4.38KB
2024-10-25 22:02:50
py3-infinity-pyc-1.5-r6.apk
3.74KB
2024-10-25 22:02:50
py3-iniparse-0.5-r7.apk
18.69KB
2024-10-25 22:02:50
py3-iniparse-doc-0.5-r7.apk
10.34KB
2024-10-25 22:02:50
py3-iniparse-pyc-0.5-r7.apk
24.62KB
2024-10-25 22:02:50
py3-intervals-0.9.2-r5.apk
9.45KB
2024-10-25 22:02:50
py3-intervals-pyc-0.9.2-r5.apk
15.16KB
2024-10-25 22:02:50
py3-ioctl-opt-1.3-r0.apk
11.62KB
2025-01-29 18:00:50
py3-ioctl-opt-pyc-1.3-r0.apk
4.65KB
2025-01-29 18:00:50
py3-irc-20.4.1-r1.apk
40.08KB
2025-10-06 09:21:41
py3-irc-pyc-20.4.1-r1.apk
70.27KB
2025-10-06 09:21:41
py3-isbnlib-3.10.14-r0.apk
42.66KB
2025-01-19 16:15:02
py3-isbnlib-pyc-3.10.14-r0.apk
66.68KB
2025-01-19 16:15:02
py3-iso639-lang-2.6.3-r0.apk
310.91KB
2025-12-05 00:48:52
py3-iso639-lang-pyc-2.6.3-r0.apk
12.24KB
2025-12-05 00:48:52
py3-itemadapter-0.10.0-r0.apk
11.25KB
2024-11-30 22:42:22
py3-itemadapter-pyc-0.10.0-r0.apk
12.67KB
2024-11-30 22:42:22
py3-itemloaders-1.3.2-r1.apk
12.30KB
2025-08-09 19:28:02
py3-itemloaders-pyc-1.3.2-r1.apk
16.51KB
2025-08-09 19:28:02
py3-iterable-io-1.0.1-r0.apk
6.00KB
2026-01-08 15:53:17
py3-iterable-io-pyc-1.0.1-r0.apk
5.36KB
2026-01-08 15:53:17
py3-itunespy-1.6-r5.apk
9.74KB
2025-05-14 21:16:03
py3-itunespy-pyc-1.6-r5.apk
14.60KB
2025-05-14 21:16:03
py3-janus-1.2.0-r0.apk
12.36KB
2024-12-13 06:57:49
py3-janus-pyc-1.2.0-r0.apk
13.34KB
2024-12-13 06:57:49
py3-jaraco.logging-3.4.0-r0.apk
5.32KB
2025-06-18 01:14:49
py3-jaraco.logging-pyc-3.4.0-r0.apk
6.11KB
2025-06-18 01:14:49
py3-jaraco.path-3.7.2-r0.apk
7.62KB
2024-10-25 22:02:50
py3-jaraco.path-pyc-3.7.2-r0.apk
9.52KB
2024-10-25 22:02:50
py3-jaraco.stream-3.0.4-r0.apk
6.71KB
2024-12-15 00:59:27
py3-jaraco.stream-pyc-3.0.4-r0.apk
8.08KB
2024-12-15 00:59:27
py3-jaraco.vcs-2.4.1-r0.apk
10.19KB
2025-03-11 13:19:03
py3-jaraco.vcs-pyc-2.4.1-r0.apk
15.95KB
2025-03-11 13:19:03
py3-jaraco.versioning-1.1.0-r0.apk
5.91KB
2024-10-25 22:02:50
py3-jaraco.versioning-pyc-1.1.0-r0.apk
6.10KB
2024-10-25 22:02:50
py3-joserfc-1.5.0-r0.apk
54.07KB
2025-12-05 00:48:52
py3-joserfc-pyc-1.5.0-r0.apk
110.34KB
2025-12-05 00:48:52
py3-kazoo-0_git20211202-r4.apk
125.03KB
2024-10-25 22:02:50
py3-kazoo-pyc-0_git20211202-r4.apk
244.50KB
2024-10-25 22:02:50
py3-keepalive-0.5-r5.apk
8.97KB
2024-10-25 22:02:50
py3-keepalive-doc-0.5-r5.apk
1.99KB
2024-10-25 22:02:50
py3-keepalive-pyc-0.5-r5.apk
13.05KB
2024-10-25 22:02:50
py3-kerberos-1.3.1-r5.apk
16.60KB
2024-10-25 22:02:50
py3-kikit-1.7.2-r0.apk
238.81KB
2025-05-10 06:12:17
py3-kikit-pyc-1.7.2-r0.apk
277.41KB
2025-05-10 06:12:17
py3-knowit-0.5.11-r0.apk
31.67KB
2025-11-24 03:06:12
py3-knowit-pyc-0.5.11-r0.apk
61.51KB
2025-11-24 03:06:12
py3-landlock-1.0.0_pre4-r2.apk
8.41KB
2024-10-25 22:02:50
py3-landlock-pyc-1.0.0_pre4-r2.apk
9.53KB
2024-10-25 22:02:50
py3-langcodes-3.3.0-r2.apk
173.54KB
2024-10-25 22:02:50
py3-langcodes-pyc-3.3.0-r2.apk
109.73KB
2024-10-25 22:02:50
py3-language-data-1.3.0-r0.apk
4.95MB
2024-12-01 22:08:11
py3-language-data-pyc-1.3.0-r0.apk
2.96MB
2024-12-01 22:08:11
py3-latex2mathml-3.78.1-r1.apk
72.05KB
2025-09-29 22:06:48
py3-latex2mathml-pyc-3.78.1-r1.apk
34.81KB
2025-09-29 22:06:48
py3-lib_users-0.15-r4.apk
15.62KB
2024-10-25 22:02:50
py3-lib_users-pyc-0.15-r4.apk
9.51KB
2024-10-25 22:02:50
py3-libacl-0.7.3-r0.apk
24.92KB
2025-10-17 02:45:54
py3-libguestfs-1.56.1-r0.apk
177.52KB
2025-07-23 01:16:04
py3-libiio-0.25-r2.apk
12.58KB
2024-10-25 22:02:50
py3-liblarch-3.2.0-r6.apk
29.52KB
2024-12-08 23:48:43
py3-liblarch-pyc-3.2.0-r6.apk
49.61KB
2024-12-08 23:48:43
py3-libmdbx-0.10.2-r7.apk
27.89KB
2024-10-25 22:02:50
py3-libmdbx-pyc-0.10.2-r7.apk
32.81KB
2024-10-25 22:02:50
py3-libnacl-2.1.0-r1.apk
20.31KB
2024-10-25 22:02:50
py3-libnacl-pyc-2.1.0-r1.apk
30.35KB
2024-10-25 22:02:50
py3-libpyshell-0.4.1-r1.apk
11.52KB
2025-06-10 12:42:07
py3-libpyshell-pyc-0.4.1-r1.apk
18.30KB
2025-06-10 12:42:07
py3-librtmp-0.3.0-r6.apk
34.31KB
2024-10-25 22:02:50
py3-librtmp-pyc-0.3.0-r6.apk
24.57KB
2024-10-25 22:02:50
py3-limits-3.14.1-r0.apk
33.50KB
2024-12-25 21:00:17
py3-limits-pyc-3.14.1-r0.apk
71.44KB
2024-12-25 21:00:17
py3-linkify-it-py-2.0.3-r1.apk
21.33KB
2024-10-25 22:02:50
py3-linkify-it-py-pyc-2.0.3-r1.apk
23.42KB
2024-10-25 22:02:50
py3-litedram-2024.04-r0.apk
176.12KB
2024-10-25 22:02:50
py3-liteeth-2024.04-r0.apk
102.83KB
2024-10-25 22:02:50
py3-liteiclink-2024.04-r0.apk
81.89KB
2024-10-25 22:02:50
py3-litejesd204b-2024.04-r0.apk
13.30KB
2024-10-25 22:02:50
py3-litepcie-2024.04-r0.apk
108.37KB
2024-10-25 22:02:50
py3-litesata-2024.04-r0.apk
61.84KB
2024-10-25 22:02:50
py3-litescope-2024.04-r0.apk
16.07KB
2024-10-25 22:02:50
py3-litesdcard-2024.04-r0.apk
17.32KB
2024-10-25 22:02:50
py3-litespi-2024.04-r0.apk
32.14KB
2024-10-25 22:02:50
py3-litex-2024.04-r0.apk
1.33MB
2024-10-25 22:02:50
py3-litex-boards-2024.04-r0.apk
390.48KB
2024-10-25 22:02:50
py3-litex-full-2024.04-r0.apk
1.58KB
2024-10-25 22:02:50
py3-litex-hub-modules-2024.04-r0.apk
1.62KB
2024-10-25 22:02:50
py3-litex-hub-modules-pyc-2024.04-r0.apk
1.11MB
2024-10-25 22:02:50
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
5.55MB
2024-10-25 22:02:50
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
1.93MB
2024-10-25 22:02:50
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
934.13KB
2024-10-25 22:02:50
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
500.01KB
2024-10-25 22:02:50
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
10.15MB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
1.80MB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
112.11KB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
207.87KB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
19.46MB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
44.83KB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
221.40KB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
7.56KB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
230.30KB
2024-10-25 22:02:51
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
56.79MB
2024-10-25 22:02:52
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
675.21KB
2024-10-25 22:02:52
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
712.64KB
2024-10-25 22:02:52
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2.40MB
2024-10-25 22:02:52
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
57.95KB
2024-10-25 22:02:52
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2.20MB
2024-10-25 22:02:52
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
4.69MB
2024-10-25 22:02:52
py3-litex-hub-valentyusb-2024.04-r0.apk
111.52KB
2024-10-25 22:02:52
py3-litex-pyc-2024.04-r0.apk
2.63MB
2024-10-25 22:02:52
py3-livestream-2.1.0-r0.apk
766.24KB
2024-11-26 00:22:46
py3-livestream-pyc-2.1.0-r0.apk
29.89KB
2024-11-26 00:22:46
py3-log-symbols-0.0.14-r5.apk
4.21KB
2024-10-25 22:02:52
py3-log-symbols-pyc-0.0.14-r5.apk
3.07KB
2024-10-25 22:02:52
py3-logtop-0.7-r1.apk
21.17KB
2025-08-09 19:28:02
py3-logtop-pyc-0.7-r1.apk
4.08KB
2025-08-09 19:28:02
py3-lsp-black-2.0.0-r1.apk
7.51KB
2024-10-25 22:02:52
py3-lsp-black-pyc-2.0.0-r1.apk
6.37KB
2024-10-25 22:02:52
py3-lsp-mypy-0.7.0-r1.apk
12.82KB
2025-08-30 04:39:27
py3-lsp-mypy-pyc-0.7.0-r1.apk
12.76KB
2025-08-30 04:39:27
py3-lsprotocol-2023.0.1-r1.apk
69.49KB
2024-10-25 22:02:52
py3-lsprotocol-pyc-2023.0.1-r1.apk
107.12KB
2024-10-25 22:02:52
py3-luhn-0.2.0-r9.apk
3.98KB
2024-10-25 22:02:52
py3-luhn-pyc-0.2.0-r9.apk
2.63KB
2024-10-25 22:02:52
py3-lunr-0.6.2-r4.apk
32.49KB
2024-10-25 22:02:52
py3-lunr-pyc-0.6.2-r4.apk
50.62KB
2024-10-25 22:02:52
py3-lxmf-0.8.0-r0.apk
50.79KB
2025-09-24 01:32:15
py3-lxmf-pyc-0.8.0-r0.apk
109.39KB
2025-09-24 01:32:15
py3-ly-0.9.9-r0.apk
186.60KB
2025-07-13 00:56:25
py3-ly-doc-0.9.9-r0.apk
8.06KB
2025-07-13 00:56:25
py3-ly-pyc-0.9.9-r0.apk
351.99KB
2025-07-13 00:56:25
py3-lzo-1.16-r1.apk
16.38KB
2024-10-25 22:02:52
py3-lzo-pyc-1.16-r1.apk
1.97KB
2024-10-25 22:02:52
py3-m2crypto-0.41.0-r2.apk
190.09KB
2024-10-25 22:02:52
py3-m2crypto-pyc-0.41.0-r2.apk
122.61KB
2024-10-25 22:02:52
py3-maidenhead-1.8.0-r0.apk
7.95KB
2025-08-11 00:47:35
py3-maidenhead-doc-1.8.0-r0.apk
3.68KB
2025-08-11 00:47:35
py3-maidenhead-pyc-1.8.0-r0.apk
7.95KB
2025-08-11 00:47:35
py3-mando-0.8.2-r0.apk
21.56KB
2025-12-18 13:03:27
py3-mando-doc-0.8.2-r0.apk
4.17KB
2025-12-18 13:03:27
py3-mando-pyc-0.8.2-r0.apk
35.33KB
2025-12-18 13:03:27
py3-manuel-1.13.0-r1.apk
39.36KB
2025-10-14 18:04:53
py3-manuel-pyc-1.13.0-r1.apk
25.67KB
2025-10-14 18:04:53
py3-mapbox-earcut-1.0.1-r2.apk
59.27KB
2024-10-25 22:02:52
py3-marisa-trie-1.3.1-r0.apk
132.63KB
2025-08-29 12:31:57
py3-markdown2-2.5.0-r0.apk
47.22KB
2024-10-25 22:02:52
py3-markdown2-pyc-2.5.0-r0.apk
75.49KB
2024-10-25 22:02:52
py3-markdownify-1.2.2-r0.apk
16.17KB
2025-11-27 18:00:53
py3-markdownify-pyc-1.2.2-r0.apk
18.24KB
2025-11-27 18:00:53
py3-marshmallow-3.26.1-r0.apk
47.55KB
2025-02-22 16:39:15
py3-marshmallow-enum-1.5.1-r7.apk
5.29KB
2024-10-25 22:02:52
py3-marshmallow-enum-pyc-1.5.1-r7.apk
4.45KB
2024-10-25 22:02:52
py3-marshmallow-pyc-3.26.1-r0.apk
84.90KB
2025-02-22 16:39:15
py3-mbedtls-2.10.1-r3.apk
949.00KB
2025-05-29 15:00:19
py3-mbedtls-pyc-2.10.1-r3.apk
27.01KB
2025-05-29 15:00:19
py3-meshtastic-2.7.2-r0.apk
539.83KB
2025-09-16 17:05:09
py3-migen-0.9.2-r2.apk
142.61KB
2024-10-25 22:02:52
py3-migen-pyc-0.9.2-r2.apk
295.65KB
2024-10-25 22:02:52
py3-milc-1.9.1-r0.apk
25.99KB
2025-01-25 18:04:48
py3-milc-pyc-1.9.1-r0.apk
41.50KB
2025-01-25 18:04:48
py3-minidb-2.0.8-r0.apk
10.05KB
2024-11-13 22:04:11
py3-minidb-pyc-2.0.8-r0.apk
23.43KB
2024-11-13 22:04:11
py3-minidump-0.0.24-r1.apk
63.53KB
2025-05-29 15:00:19
py3-minidump-pyc-0.0.24-r1.apk
129.10KB
2025-05-29 15:00:19
py3-minikerberos-0.4.9-r0.apk
123.21KB
2025-11-03 10:48:46
py3-minikerberos-examples-0.4.9-r0.apk
17.36KB
2025-11-03 10:48:46
py3-minikerberos-pyc-0.4.9-r0.apk
287.29KB
2025-11-03 10:48:46
py3-minio-7.2.18-r0.apk
74.87KB
2025-11-10 05:17:54
py3-minio-pyc-7.2.18-r0.apk
155.07KB
2025-11-10 05:17:54
py3-mistletoe-1.4.0-r0.apk
44.56KB
2025-03-21 18:21:17
py3-mistletoe-pyc-1.4.0-r0.apk
93.00KB
2025-03-21 18:21:17
py3-mistune1-0.8.4-r6.apk
14.42KB
2025-11-09 20:54:37
py3-mistune1-pyc-0.8.4-r6.apk
21.47KB
2025-11-09 20:54:37
py3-mnemonic-0.21-r0.apk
95.05KB
2024-10-25 22:02:52
py3-mnemonic-doc-0.21-r0.apk
2.40KB
2024-10-25 22:02:52
py3-mnemonic-pyc-0.21-r0.apk
9.69KB
2024-10-25 22:02:52
py3-modbus-tk-1.1.1-r4.apk
24.77KB
2024-10-25 22:02:52
py3-modbus-tk-pyc-1.1.1-r4.apk
48.49KB
2024-10-25 22:02:52
py3-modern_colorthief-0.1.7-r0.apk
757.64KB
2025-06-16 20:58:48
py3-modern_colorthief-pyc-0.1.7-r0.apk
2.60KB
2025-06-16 20:58:48
py3-mopidy-jellyfin-1.0.4-r4.apk
24.54KB
2024-10-25 22:02:52
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
36.65KB
2024-10-25 22:02:52
py3-mopidy-local-3.3.0-r0.apk
27.77KB
2025-01-02 00:24:04
py3-mopidy-local-pyc-3.3.0-r0.apk
34.09KB
2025-01-02 00:24:04
py3-mopidy-mpd-3.3.0-r4.apk
46.01KB
2024-10-25 22:02:52
py3-mopidy-mpd-pyc-3.3.0-r4.apk
73.82KB
2024-10-25 22:02:52
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
24.25KB
2024-10-25 22:02:52
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
39.10KB
2024-10-25 22:02:52
py3-mopidy-tidal-0.3.2-r6.apk
24.75KB
2024-10-25 22:02:52
py3-mopidy-tidal-pyc-0.3.2-r6.apk
35.63KB
2024-10-25 22:02:52
py3-more-properties-1.1.1-r3.apk
7.43KB
2024-10-25 22:02:52
py3-more-properties-pyc-1.1.1-r3.apk
8.22KB
2024-10-25 22:02:52
py3-moviepy-1.0.3-r6.apk
94.50KB
2024-10-25 22:02:52
py3-moviepy-pyc-1.0.3-r6.apk
155.53KB
2024-10-25 22:02:52
py3-msldap-0.5.15-r2.apk
126.63KB
2025-10-17 19:26:27
py3-msldap-examples-0.5.15-r2.apk
18.36KB
2025-10-17 19:26:27
py3-msldap-pyc-0.5.15-r2.apk
329.78KB
2025-10-17 19:26:27
py3-mss-10.0.0-r0.apk
50.59KB
2024-11-14 15:11:10
py3-natpmp-1.3.2-r1.apk
9.41KB
2024-10-25 22:02:52
py3-natpmp-pyc-1.3.2-r1.apk
9.86KB
2024-10-25 22:02:52
py3-ncclient-0.6.13-r5.apk
68.22KB
2024-10-25 22:02:52
py3-ncclient-pyc-0.6.13-r5.apk
106.52KB
2024-10-25 22:02:52
py3-netifaces2-0.0.22-r0.apk
189.01KB
2024-10-25 22:02:52
py3-netifaces2-pyc-0.0.22-r0.apk
9.21KB
2024-10-25 22:02:52
py3-netmiko-4.6.0-r0.apk
191.92KB
2025-08-13 18:31:13
py3-netmiko-pyc-4.6.0-r0.apk
372.25KB
2025-08-13 18:31:13
py3-nikola-8.3.3-r0.apk
4.34MB
2025-10-11 13:49:50
py3-nikola-doc-8.3.3-r0.apk
61.27KB
2025-10-11 13:49:50
py3-nikola-pyc-8.3.3-r0.apk
545.40KB
2025-10-11 13:49:50
py3-nmap-0.7.1-r4.apk
20.35KB
2024-10-25 22:02:52
py3-nmap-pyc-0.7.1-r4.apk
25.48KB
2024-10-25 22:02:52
py3-nose-timer-1.0.1-r6.apk
9.37KB
2024-10-25 22:02:52
py3-nose-timer-pyc-1.0.1-r6.apk
9.92KB
2024-10-25 22:02:52
py3-notifymail-1.1-r8.apk
7.58KB
2024-10-25 22:02:52
py3-notifymail-pyc-1.1-r8.apk
5.74KB
2024-10-25 22:02:52
py3-nptyping-2.5.0-r3.apk
21.34KB
2024-10-25 22:02:52
py3-nptyping-pyc-2.5.0-r3.apk
32.03KB
2024-10-25 22:02:52
py3-ntplib-0.4.0-r5.apk
7.40KB
2024-10-25 22:02:52
py3-ntplib-pyc-0.4.0-r5.apk
8.62KB
2024-10-25 22:02:52
py3-numpy-stl-3.2.0-r0.apk
21.01KB
2024-12-01 04:04:51
py3-numpy-stl-pyc-3.2.0-r0.apk
27.87KB
2024-12-01 04:04:51
py3-nwdiag-3.0.0-r3.apk
4.92MB
2024-10-25 22:02:52
py3-nwdiag-pyc-3.0.0-r3.apk
77.88KB
2024-10-25 22:02:52
py3-okonomiyaki-2.0.0-r1.apk
7.89MB
2025-10-14 22:03:28
py3-okonomiyaki-pyc-2.0.0-r1.apk
243.09KB
2025-10-14 22:03:28
py3-openapi-codec-1.3.2-r9.apk
7.65KB
2024-10-25 22:02:53
py3-openapi-codec-pyc-1.3.2-r9.apk
11.73KB
2024-10-25 22:02:53
py3-opendht-3.1.11-r0.apk
153.83KB
2025-01-29 18:00:50
py3-openssh-wrapper-0.5_git20130425-r4.apk
8.25KB
2024-10-25 22:02:53
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
10.43KB
2024-10-25 22:02:53
py3-openwisp-utils-1.0.4-r4.apk
497.64KB
2024-10-25 22:02:53
py3-openwisp-utils-pyc-1.0.4-r4.apk
42.26KB
2024-10-25 22:02:53
py3-orderedmultidict-1.0.1-r7.apk
11.87KB
2024-10-25 22:02:53
py3-orderedmultidict-pyc-1.0.1-r7.apk
17.20KB
2024-10-25 22:02:53
py3-osqp-0.6.2-r6.apk
104.18KB
2024-10-25 22:02:53
py3-osqp-dev-0.6.2-r6.apk
49.04KB
2024-10-25 22:02:53
py3-osqp-pyc-0.6.2-r6.apk
76.51KB
2024-10-25 22:02:53
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
9.97KB
2024-10-25 22:02:53
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
8.22KB
2024-10-25 22:02:53
py3-ovos-backend-client-1.0.0-r0.apk
45.91KB
2024-10-25 22:02:53
py3-ovos-backend-client-pyc-1.0.0-r0.apk
91.05KB
2024-10-25 22:02:53
py3-ovos-bus-client-1.3.4-r0.apk
49.96KB
2025-04-25 13:49:07
py3-ovos-bus-client-pyc-1.3.4-r0.apk
89.01KB
2025-04-25 13:49:07
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
102.98KB
2024-10-25 22:02:53
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
163.52KB
2024-10-25 22:02:53
py3-ovos-config-2.1.1-r0.apk
47.22KB
2025-07-15 21:37:24
py3-ovos-config-pyc-2.1.1-r0.apk
34.79KB
2025-07-15 21:37:24
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
357.78KB
2024-10-25 22:02:53
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
436.70KB
2024-10-25 22:02:53
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
8.87KB
2025-07-15 23:03:50
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
4.76KB
2025-07-15 23:03:50
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
550.23KB
2024-10-25 22:02:53
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
86.04KB
2024-10-25 22:02:53
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
46.97KB
2024-10-25 22:02:53
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
103.57KB
2024-10-25 22:02:53
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
8.07KB
2024-10-25 22:02:53
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
3.40KB
2024-10-25 22:02:53
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
11.09KB
2025-07-15 23:03:50
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
8.88KB
2025-07-15 23:03:50
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
8.17KB
2025-07-15 23:03:50
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
3.89KB
2025-07-15 23:03:50
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
8.81KB
2025-07-15 23:03:50
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
5.07KB
2025-07-15 23:03:50
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
4.53KB
2024-10-25 22:02:53
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
4.37KB
2024-10-25 22:02:53
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
95.10KB
2024-11-21 15:32:12
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
11.22KB
2024-11-21 15:32:12
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
11.92KB
2024-11-21 15:32:12
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
9.66KB
2024-11-21 15:32:12
py3-ovos-phal-plugin-system-1.3.3-r0.apk
10.95KB
2025-07-15 19:49:23
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
10.06KB
2025-07-15 19:49:23
py3-ovos-plugin-manager-1.0.3-r0.apk
86.46KB
2025-07-15 22:34:45
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
166.84KB
2025-07-15 22:34:45
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
10.08KB
2024-10-25 22:02:53
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
6.77KB
2024-10-25 22:02:53
py3-ovos-translate-server-plugin-0.0.0-r0.apk
8.33KB
2024-10-25 22:02:53
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
4.11KB
2024-10-25 22:02:53
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
12.24KB
2024-10-25 22:02:53
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
11.40KB
2024-10-25 22:02:53
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
9.51KB
2024-10-25 22:02:53
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
5.45KB
2024-10-25 22:02:53
py3-ovos-utils-0.8.1-r0.apk
72.57KB
2025-07-15 23:03:50
py3-ovos-utils-pyc-0.8.1-r0.apk
130.30KB
2025-07-15 23:03:50
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk
4.52KB
2024-10-25 22:02:53
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk
4.39KB
2024-10-25 22:02:53
py3-ovos-workshop-7.0.6-r0.apk
92.18KB
2025-07-15 22:29:28
py3-ovos-workshop-pyc-7.0.6-r0.apk
165.47KB
2025-07-15 22:29:28
py3-ovos-ww-plugin-vosk-0.1.7-r0.apk
11.50KB
2025-07-15 22:29:28
py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk
12.53KB
2025-07-15 22:29:28
py3-owslib-0.35.0-r0.apk
195.29KB
2025-11-02 21:15:06
py3-owslib-pyc-0.35.0-r0.apk
424.87KB
2025-11-02 21:15:06
py3-pacparser-1.4.5-r1.apk
408.31KB
2024-10-25 22:02:53
py3-pacparser-pyc-1.4.5-r1.apk
4.04KB
2024-10-25 22:02:53
py3-padacioso-0.2.1-r0.apk
11.44KB
2024-10-25 22:02:53
py3-padacioso-pyc-0.2.1-r0.apk
12.28KB
2024-10-25 22:02:53
py3-pam-2.0.2-r2.apk
11.19KB
2024-10-25 22:02:53
py3-pam-pyc-2.0.2-r2.apk
12.97KB
2024-10-25 22:02:53
py3-pathvalidate-3.3.1-r0.apk
19.09KB
2025-07-12 18:21:41
py3-pathvalidate-pyc-3.3.1-r0.apk
33.59KB
2025-07-12 18:21:41
py3-pbkdf2-1.3-r7.apk
6.29KB
2024-10-25 22:02:53
py3-pbkdf2-pyc-1.3-r7.apk
7.08KB
2024-10-25 22:02:53
py3-pcbnewtransition-0.5.2-r0.apk
7.88KB
2025-07-12 18:20:56
py3-pcbnewtransition-pyc-0.5.2-r0.apk
9.85KB
2025-07-12 18:20:56
py3-pdal-3.4.5-r1.apk
176.02KB
2025-06-22 00:43:44
py3-pdal-pyc-3.4.5-r1.apk
13.09KB
2025-06-22 00:43:44
py3-pdoc-15.0.4-r0.apk
132.77KB
2025-10-13 00:20:56
py3-pdoc-pyc-15.0.4-r0.apk
168.97KB
2025-10-13 00:20:56
py3-pelican-4.9.1-r2.apk
233.72KB
2024-10-25 22:02:53
py3-pelican-pyc-4.9.1-r2.apk
147.30KB
2024-10-25 22:02:53
py3-pep8-naming-0.14.1-r0.apk
9.77KB
2024-10-25 22:02:53
py3-pep8-naming-pyc-0.14.1-r0.apk
13.19KB
2024-10-25 22:02:53
py3-phpserialize-1.3-r8.apk
8.85KB
2024-10-25 22:02:53
py3-phpserialize-pyc-1.3-r8.apk
10.70KB
2024-10-25 22:02:53
py3-phx-class-registry-5.0.0-r0.apk
13.14KB
2024-10-25 22:02:53
py3-phx-class-registry-doc-5.0.0-r0.apk
2.22KB
2024-10-25 22:02:53
py3-phx-class-registry-pyc-5.0.0-r0.apk
17.40KB
2024-10-25 22:02:53
py3-piccata-2.0.3-r1.apk
20.08KB
2024-10-25 22:02:53
py3-piccata-pyc-2.0.3-r1.apk
34.22KB
2024-10-25 22:02:53
py3-pickle-secure-0.99.9-r1.apk
7.50KB
2024-10-25 22:02:53
py3-pickle-secure-pyc-0.99.9-r1.apk
5.36KB
2024-10-25 22:02:53
py3-pillow_heif-0.18.0-r0.apk
43.30KB
2024-10-25 22:02:53
py3-pillow_heif-pyc-0.18.0-r0.apk
35.54KB
2024-10-25 22:02:53
py3-pip-system-certs-4.0-r1.apk
6.99KB
2024-10-25 22:02:53
py3-pip-system-certs-pyc-4.0-r1.apk
4.65KB
2024-10-25 22:02:53
py3-piper-phonemize-2023.11.14.4-r9.apk
142.87KB
2025-07-12 19:07:47
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
3.35KB
2025-07-12 19:07:47
py3-piper-tts-2023.11.14.2-r14.apk
40.89KB
2025-07-12 19:07:47
py3-playsound-1.3.0-r1.apk
6.86KB
2024-10-25 22:02:53
py3-playsound-pyc-1.3.0-r1.apk
8.54KB
2024-10-25 22:02:53
py3-plotly-5.24.1-r2.apk
20.49MB
2025-04-19 01:14:17
py3-pltable-1.1.0-r1.apk
18.58KB
2024-11-13 08:43:42
py3-pltable-pyc-1.1.0-r1.apk
33.47KB
2024-11-13 08:43:42
py3-pockethernet-0.7.0-r4.apk
14.78KB
2024-10-25 22:02:53
py3-pockethernet-pyc-0.7.0-r4.apk
25.21KB
2024-10-25 22:02:53
py3-poetry-dynamic-versioning-1.9.1-r0.apk
20.60KB
2025-07-26 03:18:30
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
26.39KB
2025-07-26 03:18:30
py3-poppler-qt5-21.3.0-r2.apk
120.41KB
2025-01-29 22:02:11
py3-ppk2-api-0.9.2-r0.apk
16.48KB
2024-11-30 23:06:48
py3-ppk2-api-pyc-0.9.2-r0.apk
16.51KB
2024-11-30 23:06:48
py3-pprintpp-0.4.0-r1.apk
13.91KB
2024-10-25 22:02:53
py3-pprintpp-pyc-0.4.0-r1.apk
16.28KB
2024-10-25 22:02:53
py3-prctl-1.8.1-r0.apk
12.43KB
2025-10-20 16:50:45
py3-prctl-pyc-1.8.1-r0.apk
7.23KB
2025-10-20 16:50:45
py3-prefixed-0.9.0-r0.apk
14.35KB
2025-08-28 23:04:16
py3-prefixed-pyc-0.9.0-r0.apk
8.61KB
2025-08-28 23:04:16
py3-print-color-0.4.6-r0.apk
8.86KB
2024-10-25 22:02:53
py3-print-color-doc-0.4.6-r0.apk
2.62KB
2024-10-25 22:02:53
py3-print-color-pyc-0.4.6-r0.apk
4.97KB
2024-10-25 22:02:53
py3-proglog-0.1.10-r2.apk
7.38KB
2024-10-25 22:02:53
py3-proglog-pyc-0.1.10-r2.apk
9.92KB
2024-10-25 22:02:53
py3-protego-0.3.1-r0.apk
9.34KB
2024-11-30 22:18:53
py3-protego-pyc-0.3.1-r0.apk
11.56KB
2024-11-30 22:18:53
py3-proxmoxer-2.2.0-r0.apk
16.85KB
2024-12-16 13:36:19
py3-proxmoxer-pyc-2.2.0-r0.apk
27.74KB
2024-12-16 13:36:19
py3-pure_protobuf-3.1.2-r0.apk
21.12KB
2024-10-25 22:02:53
py3-pure_protobuf-pyc-3.1.2-r0.apk
37.68KB
2024-10-25 22:02:53
py3-py-radix-0.10.0-r11.apk
20.87KB
2025-12-30 23:01:18
py3-py-radix-pyc-0.10.0-r11.apk
10.62KB
2025-12-30 23:01:18
py3-pyatem-0.5.0-r4.apk
52.26KB
2024-10-25 22:02:53
py3-pyatem-pyc-0.5.0-r4.apk
91.62KB
2024-10-25 22:02:53
py3-pyautogui-0.9.53-r5.apk
35.07KB
2024-10-25 22:02:53
py3-pyautogui-pyc-0.9.53-r5.apk
45.27KB
2024-10-25 22:02:53
py3-pybars3-0.9.7-r6.apk
14.84KB
2024-10-25 22:02:53
py3-pybars3-pyc-0.9.7-r6.apk
16.84KB
2024-10-25 22:02:53
py3-pycaption-2.2.15-r0.apk
360.07KB
2024-10-25 22:02:53
py3-pycolorterm-0.2.1-r6.apk
5.46KB
2024-10-25 22:02:53
py3-pycolorterm-pyc-0.2.1-r6.apk
3.73KB
2024-10-25 22:02:53
py3-pycosat-0.6.6-r2.apk
45.23KB
2024-10-25 22:02:53
py3-pydes-2.0.1-r5.apk
10.68KB
2024-10-25 22:02:53
py3-pydes-doc-2.0.1-r5.apk
3.64KB
2024-10-25 22:02:53
py3-pydes-pyc-2.0.1-r5.apk
13.17KB
2024-10-25 22:02:53
py3-pygelbooru-1.0.0-r0.apk
20.44KB
2025-07-30 07:28:33
py3-pygelbooru-pyc-1.0.0-r0.apk
11.50KB
2025-07-30 07:28:33
py3-pygfm-2.0.0-r2.apk
12.73KB
2024-10-25 22:02:53
py3-pygfm-pyc-2.0.0-r2.apk
13.20KB
2024-10-25 22:02:53
py3-pyglet-2.1.5-r1.apk
889.91KB
2025-08-27 17:45:11
py3-pyglet-pyc-2.1.5-r1.apk
1.62MB
2025-08-27 17:45:11
py3-pyglm-2.7.3-r0.apk
1.28MB
2024-11-06 11:41:19
py3-pygpgme-0.3.1-r9.apk
36.04KB
2024-10-25 22:02:53
py3-pygpgme-pyc-0.3.1-r9.apk
5.07KB
2024-10-25 22:02:53
py3-pygtail-0.14.0-r3.apk
14.99KB
2024-10-25 22:02:53
py3-pygtail-pyc-0.14.0-r3.apk
10.09KB
2024-10-25 22:02:53
py3-pyinstaller-6.6.0-r0.apk
1.89MB
2024-10-25 22:02:53
py3-pyinstaller-pyc-6.6.0-r0.apk
502.25KB
2024-10-25 22:02:53
py3-pyinstrument-5.1.2-r0.apk
110.11KB
2026-01-05 05:42:31
py3-pyinstrument-pyc-5.1.2-r0.apk
102.68KB
2026-01-05 05:42:31
py3-pyisbn-1.3.1-r3.apk
20.45KB
2024-10-25 22:02:53
py3-pyisbn-pyc-1.3.1-r3.apk
9.41KB
2024-10-25 22:02:53
py3-pylru-1.2.1-r1.apk
16.57KB
2024-10-25 22:02:53
py3-pylru-pyc-1.2.1-r1.apk
8.95KB
2024-10-25 22:02:53
py3-pymaging-0.0.20130908-r10.apk
17.98KB
2024-10-25 22:02:53
py3-pymaging-png-0.0.20130727-r10.apk
35.02KB
2024-10-25 22:02:53
py3-pymaging-png-pyc-0.0.20130727-r10.apk
51.92KB
2024-10-25 22:02:53
py3-pymaging-pyc-0.0.20130908-r10.apk
31.67KB
2024-10-25 22:02:53
py3-pymata-2.20-r4.apk
22.50KB
2024-10-25 22:02:53
py3-pymata-pyc-2.20-r4.apk
29.39KB
2024-10-25 22:02:53
py3-pymata4-1.15-r4.apk
23.05KB
2024-10-25 22:02:53
py3-pymata4-pyc-1.15-r4.apk
30.83KB
2024-10-25 22:02:53
py3-pymeta3-0.5.1-r6.apk
16.75KB
2024-10-25 22:02:53
py3-pymeta3-pyc-0.5.1-r6.apk
31.55KB
2024-10-25 22:02:53
py3-pymsgbox-1.0.9-r5.apk
9.16KB
2024-10-25 22:02:53
py3-pymsgbox-pyc-1.0.9-r5.apk
9.84KB
2024-10-25 22:02:53
py3-pymsteams-0.2.5-r0.apk
12.35KB
2025-02-22 16:39:15
py3-pymsteams-pyc-0.2.5-r0.apk
6.54KB
2025-02-22 16:39:15
py3-pymupdf-1.26.4-r2.apk
317.82KB
2025-12-19 22:33:44
py3-pymupdf-pyc-1.26.4-r2.apk
500.24KB
2025-12-19 22:33:44
py3-pynest2d-5.2.2-r5.apk
246.39KB
2025-02-06 06:45:15
py3-pypandoc-1.15-r0.apk
20.71KB
2025-02-22 16:39:15
py3-pypandoc-pyc-1.15-r0.apk
23.01KB
2025-02-22 16:39:15
py3-pyparted-3.13.0-r1.apk
76.42KB
2024-10-25 22:02:53
py3-pyparted-pyc-3.13.0-r1.apk
42.17KB
2024-10-25 22:02:53
py3-pypubsub-4.0.3-r0.apk
52.39KB
2024-10-25 22:02:53
py3-pypubsub-doc-4.0.3-r0.apk
2.14KB
2024-10-25 22:02:53
py3-pypubsub-pyc-4.0.3-r0.apk
89.92KB
2024-10-25 22:02:53
py3-pyqrcode-1.2.1-r0.apk
36.56KB
2024-10-25 22:02:53
py3-pyqrcode-doc-1.2.1-r0.apk
4.30KB
2024-10-25 22:02:53
py3-pyqrcode-pyc-1.2.1-r0.apk
47.27KB
2024-10-25 22:02:53
py3-pyroma-4.2-r0.apk
21.87KB
2024-10-25 22:02:53
py3-pyroma-pyc-4.2-r0.apk
25.95KB
2024-10-25 22:02:53
py3-pyscreeze-0.1.29-r3.apk
14.11KB
2024-10-25 22:02:53
py3-pyscreeze-pyc-0.1.29-r3.apk
14.19KB
2024-10-25 22:02:53
py3-pysimplesoap-1.16.2-r7.apk
43.67KB
2024-10-25 22:02:53
py3-pysimplesoap-pyc-1.16.2-r7.apk
78.17KB
2024-10-25 22:02:53
py3-pysonic-1.0.3-r0.apk
34.76KB
2025-04-17 01:35:16
py3-pysonic-pyc-1.0.3-r0.apk
32.23KB
2025-04-17 01:35:16
py3-pyspinel-1.0.3-r1.apk
56.01KB
2024-10-25 22:02:53
py3-pyspinel-pyc-1.0.3-r1.apk
63.04KB
2024-10-25 22:02:53
py3-pysrt-1.1.2-r5.apk
25.48KB
2025-05-14 21:16:03
py3-pysrt-pyc-1.1.2-r5.apk
22.75KB
2025-05-14 21:16:03
py3-pystache-0.6.5-r1.apk
68.11KB
2024-10-25 22:02:53
py3-pystache-pyc-0.6.5-r1.apk
96.67KB
2024-10-25 22:02:53
py3-pysubs2-1.8.0-r0.apk
35.93KB
2024-12-26 00:09:49
py3-pysubs2-pyc-1.8.0-r0.apk
67.71KB
2024-12-26 00:09:49
py3-pytaglib-3.0.0-r0.apk
38.73KB
2025-01-26 22:44:25
py3-pytaglib-pyc-3.0.0-r0.apk
2.87KB
2025-01-26 22:44:25
py3-pytap2-2.3.0-r0.apk
6.97KB
2024-10-25 22:02:53
py3-pytap2-doc-2.3.0-r0.apk
2.79KB
2024-10-25 22:02:53
py3-pytap2-pyc-2.3.0-r0.apk
5.99KB
2024-10-25 22:02:53
py3-pyte-0.8.2-r3.apk
29.90KB
2025-05-14 03:10:48
py3-pyte-pyc-0.8.2-r3.apk
39.18KB
2025-05-14 03:10:48
py3-pytest-datadir-1.8.0-r0.apk
7.08KB
2025-08-05 15:51:23
py3-pytest-datadir-pyc-1.8.0-r0.apk
5.39KB
2025-08-05 15:51:23
py3-pytest-expect-1.1.0-r10.apk
5.89KB
2024-10-25 22:02:53
py3-pytest-expect-pyc-1.1.0-r10.apk
6.96KB
2024-10-25 22:02:53
py3-pytest-home-0.6.0-r0.apk
4.53KB
2024-10-25 22:02:53
py3-pytest-home-pyc-0.6.0-r0.apk
2.80KB
2024-10-25 22:02:53
py3-pytest-html-4.1.1-r1.apk
21.63KB
2024-10-25 22:02:53
py3-pytest-html-pyc-4.1.1-r1.apk
21.75KB
2024-10-25 22:02:53
py3-pytest-metadata-3.1.1-r0.apk
10.19KB
2024-10-25 22:02:53
py3-pytest-metadata-pyc-3.1.1-r0.apk
7.90KB
2024-10-25 22:02:53
py3-pytest-regtest-2.3.5-r0.apk
16.07KB
2025-10-12 16:32:28
py3-pytest-regtest-pyc-2.3.5-r0.apk
30.25KB
2025-10-12 16:32:28
py3-pytest-subprocess-1.5.2-r0.apk
19.51KB
2024-10-25 22:02:53
py3-pytest-subprocess-pyc-1.5.2-r0.apk
24.51KB
2024-10-25 22:02:53
py3-pytest-textual-snapshot-1.1.0-r0.apk
9.18KB
2025-08-08 17:20:24
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
10.52KB
2025-08-08 17:20:24
py3-python-archive-0.2-r7.apk
7.39KB
2024-10-25 22:02:53
py3-python-archive-pyc-0.2-r7.apk
9.35KB
2024-10-25 22:02:53
py3-python-iptables-1.2.0-r1.apk
37.78KB
2025-12-12 17:43:08
py3-python-iptables-pyc-1.2.0-r1.apk
67.91KB
2025-12-12 17:43:08
py3-python-jwt-4.1.0-r2.apk
8.07KB
2025-05-16 00:26:24
py3-python-jwt-pyc-4.1.0-r2.apk
6.47KB
2025-05-16 00:26:24
py3-python-logstash-0.4.8-r4.apk
8.60KB
2024-10-25 22:02:53
py3-python-logstash-doc-0.4.8-r4.apk
2.26KB
2024-10-25 22:02:53
py3-python-logstash-pyc-0.4.8-r4.apk
8.41KB
2024-10-25 22:02:53
py3-python-stdnum-1.20-r0.apk
805.71KB
2024-10-25 22:02:53
py3-python-stdnum-pyc-1.20-r0.apk
293.50KB
2024-10-25 22:02:53
py3-pyvcd-0.4.1-r0.apk
22.67KB
2024-11-11 23:46:20
py3-pyvcd-pyc-0.4.1-r0.apk
40.45KB
2024-11-11 23:46:20
py3-pyzor-1.1.2-r0.apk
40.32KB
2025-08-14 09:26:40
py3-pyzor-pyc-1.1.2-r0.apk
53.98KB
2025-08-14 09:26:40
py3-qasync-0.19.0-r2.apk
36.87KB
2024-10-25 22:02:53
py3-qdldl-0.1.5-r4.apk
93.75KB
2024-10-25 22:02:53
py3-qgis-3.44.5-r0.apk
22.41MB
2025-12-05 00:48:52
py3-qpageview-0.6.2-r1.apk
98.05KB
2024-10-25 22:02:54
py3-qpageview-doc-0.6.2-r1.apk
56.29KB
2024-10-25 22:02:54
py3-qpageview-pyc-0.6.2-r1.apk
180.23KB
2024-10-25 22:02:54
py3-qt.py-1.3.10-r1.apk
32.69KB
2024-10-25 22:02:54
py3-qt.py-pyc-1.3.10-r1.apk
24.69KB
2024-10-25 22:02:54
py3-quebra-frases-0.3.7-r1.apk
8.82KB
2024-10-25 22:02:54
py3-quebra-frases-pyc-0.3.7-r1.apk
7.82KB
2024-10-25 22:02:54
py3-queuelib-1.8.0-r0.apk
12.41KB
2025-09-01 21:13:47
py3-queuelib-pyc-1.8.0-r0.apk
24.48KB
2025-09-01 21:13:47
py3-r2pipe-5.9.0-r0.apk
11.74KB
2025-12-29 23:20:23
py3-r2pipe-doc-5.9.0-r0.apk
21.77KB
2025-12-29 23:20:23
py3-r2pipe-pyc-5.9.0-r0.apk
21.12KB
2025-12-29 23:20:23
py3-rabbit-1.1.0-r8.apk
10.94KB
2024-10-25 22:02:54
py3-rabbit-pyc-1.1.0-r8.apk
15.49KB
2024-10-25 22:02:54
py3-radon-6.0.1-r2.apk
31.52KB
2024-10-25 22:02:54
py3-radon-doc-6.0.1-r2.apk
5.14KB
2024-10-25 22:02:54
py3-radon-pyc-6.0.1-r2.apk
49.57KB
2024-10-25 22:02:54
py3-recommonmark-0.7.1-r4.apk
11.74KB
2024-10-25 22:02:54
py3-recommonmark-pyc-0.7.1-r4.apk
17.51KB
2024-10-25 22:02:54
py3-recurring-ical-events-3.8.0-r0.apk
38.88KB
2025-06-15 06:57:46
py3-recurring-ical-events-pyc-3.8.0-r0.apk
49.88KB
2025-06-15 06:57:46
py3-redmine-2.5.0-r0.apk
36.79KB
2024-10-25 22:02:54
py3-redmine-pyc-2.5.0-r0.apk
53.59KB
2024-10-25 22:02:54
py3-remind-0.19.2-r0.apk
24.43KB
2025-04-22 20:48:38
py3-remind-pyc-0.19.2-r0.apk
22.52KB
2025-04-22 20:48:38
py3-requests-cache-1.2.1-r1.apk
49.93KB
2024-11-18 10:46:21
py3-requests-cache-pyc-1.2.1-r1.apk
94.21KB
2024-11-18 10:46:21
py3-requests-kerberos-0.15.0-r0.apk
12.10KB
2024-12-01 19:24:17
py3-requests-kerberos-pyc-0.15.0-r0.apk
10.93KB
2024-12-01 19:24:17
py3-requests-wsgi-adapter-0.4.1-r1.apk
5.51KB
2024-10-25 22:02:54
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
6.60KB
2024-10-25 22:02:54
py3-rfc-bibtex-0.3.2-r7.apk
12.87KB
2024-10-25 22:02:54
py3-rfc-bibtex-pyc-0.3.2-r7.apk
12.45KB
2024-10-25 22:02:54
py3-rich-click-1.7.3-r1.apk
30.73KB
2024-10-25 22:02:54
py3-rich-click-pyc-1.7.3-r1.apk
40.33KB
2024-10-25 22:02:54
py3-riotctrl-0.5.0-r4.apk
13.04KB
2024-10-25 22:02:54
py3-riotctrl-pyc-0.5.0-r4.apk
10.71KB
2024-10-25 22:02:54
py3-rns-1.0.0-r0.apk
344.20KB
2025-09-24 01:32:15
py3-rns-pyc-1.0.0-r0.apk
704.54KB
2025-09-24 01:32:15
py3-rofi-1.0.1-r1.apk
12.16KB
2025-08-09 19:28:02
py3-rofi-pyc-1.0.1-r1.apk
11.75KB
2025-08-09 19:28:02
py3-rosdistro-0.9.0-r3.apk
47.07KB
2024-10-25 22:02:54
py3-rosdistro-pyc-0.9.0-r3.apk
91.26KB
2024-10-25 22:02:54
py3-rospkg-1.2.9-r5.apk
28.80KB
2024-10-25 22:02:54
py3-rospkg-pyc-1.2.9-r5.apk
54.13KB
2024-10-25 22:02:54
py3-rpio-0.10.1-r8.apk
35.18KB
2024-10-25 22:02:54
py3-rpio-pyc-0.10.1-r8.apk
15.95KB
2024-10-25 22:02:54
py3-rst-0.1-r9.apk
5.56KB
2024-10-25 22:02:54
py3-rst-pyc-0.1-r9.apk
6.11KB
2024-10-25 22:02:54
py3-rst.linker-2.6.0-r0.apk
6.10KB
2024-10-25 22:02:54
py3-rst.linker-pyc-2.6.0-r0.apk
6.65KB
2024-10-25 22:02:54
py3-rst2ansi-0.1.5-r0.apk
12.17KB
2024-10-25 22:02:54
py3-rst2ansi-doc-0.1.5-r0.apk
2.24KB
2024-10-25 22:02:54
py3-rst2ansi-pyc-0.1.5-r0.apk
23.97KB
2024-10-25 22:02:54
py3-rst2pdf-0.102-r0.apk
154.50KB
2025-06-10 21:55:31
py3-rst2pdf-pyc-0.102-r0.apk
205.80KB
2025-06-10 21:55:31
py3-rtree-1.4.1-r0.apk
26.45KB
2025-08-14 13:16:50
py3-rtree-pyc-1.4.1-r0.apk
48.11KB
2025-08-14 13:16:50
py3-schema-0.7.7-r0.apk
19.42KB
2025-07-07 19:14:03
py3-schema-pyc-0.7.7-r0.apk
20.52KB
2025-07-07 19:14:03
py3-scour-0.38.2-r1.apk
56.39KB
2024-10-25 22:02:54
py3-scour-pyc-0.38.2-r1.apk
73.77KB
2024-10-25 22:02:54
py3-scrapy-2.13.4-r0.apk
251.76KB
2026-01-06 00:27:56
py3-scrapy-pyc-2.13.4-r0.apk
521.09KB
2026-01-06 00:27:56
py3-scs-3.2.3-r4.apk
104.99KB
2024-10-25 22:02:54
py3-scs-pyc-3.2.3-r4.apk
4.92KB
2024-10-25 22:02:54
py3-senf-1.5.0-r0.apk
20.19KB
2025-06-27 13:32:00
py3-senf-pyc-1.5.0-r0.apk
32.50KB
2025-06-27 13:32:00
py3-seqdiag-3.0.0-r5.apk
2.46MB
2024-10-25 22:02:54
py3-seqdiag-pyc-3.0.0-r5.apk
42.24KB
2024-10-25 22:02:54
py3-setuptools-lint-0.6.0-r9.apk
5.42KB
2024-10-25 22:02:54
py3-setuptools-lint-pyc-0.6.0-r9.apk
6.01KB
2024-10-25 22:02:54
py3-sh-2.1.0-r0.apk
37.76KB
2024-11-01 17:13:55
py3-sh-pyc-2.1.0-r0.apk
54.86KB
2024-11-01 17:13:55
py3-shodan-1.31.0-r1.apk
44.09KB
2024-10-25 22:02:54
py3-shodan-doc-1.31.0-r1.apk
7.20KB
2024-10-25 22:02:54
py3-shodan-pyc-1.31.0-r1.apk
79.15KB
2024-10-25 22:02:54
py3-simber-0.2.6-r5.apk
11.89KB
2025-05-14 21:16:03
py3-simber-pyc-0.2.6-r5.apk
16.20KB
2025-05-14 21:16:03
py3-simple-websocket-1.1.0-r0.apk
10.87KB
2025-09-06 19:20:42
py3-simple-websocket-doc-1.1.0-r0.apk
2.29KB
2025-09-06 19:20:42
py3-simple-websocket-pyc-1.1.0-r0.apk
22.75KB
2025-09-06 19:20:42
py3-simpleeval-1.0.3-r0.apk
15.62KB
2025-06-24 22:38:28
py3-simpleeval-pyc-1.0.3-r0.apk
16.47KB
2025-06-24 22:38:28
py3-simplematch-1.4-r1.apk
8.00KB
2024-10-25 22:02:54
py3-simplematch-pyc-1.4-r1.apk
5.80KB
2024-10-25 22:02:54
py3-simplesat-0.8.2-r0.apk
214.03KB
2024-10-25 22:02:54
py3-simplesat-pyc-0.8.2-r0.apk
156.57KB
2024-10-25 22:02:54
py3-simplesoapy-1.5.1-r7.apk
7.86KB
2024-10-25 22:02:54
py3-simplesoapy-pyc-1.5.1-r7.apk
11.77KB
2024-10-25 22:02:54
py3-simplespectral-1.0.0-r5.apk
7.50KB
2024-10-25 22:02:54
py3-simplespectral-pyc-1.0.0-r5.apk
8.08KB
2024-10-25 22:02:54
py3-slidge-style-parser-0.1.9-r0.apk
209.15KB
2025-04-14 00:31:58
py3-slidge-style-parser-pyc-0.1.9-r0.apk
1.97KB
2025-04-14 00:31:58
py3-slixmpp-1.8.5-r2.apk
382.18KB
2024-10-25 22:02:54
py3-slixmpp-doc-1.8.5-r2.apk
5.81KB
2024-10-25 22:02:54
py3-slixmpp-pyc-1.8.5-r2.apk
729.48KB
2024-10-25 22:02:54
py3-snapshottest-0.6.0-r5.apk
15.11KB
2024-10-25 22:02:54
py3-snapshottest-pyc-0.6.0-r5.apk
25.73KB
2024-10-25 22:02:54
py3-soappy-0.52.30-r0.apk
47.26KB
2024-12-03 01:37:20
py3-soappy-pyc-0.52.30-r0.apk
95.28KB
2024-12-03 01:37:20
py3-soapy_power-1.6.1-r5.apk
17.49KB
2024-10-25 22:02:54
py3-soapy_power-pyc-1.6.1-r5.apk
26.52KB
2024-10-25 22:02:54
py3-socketio-5.13.0-r0.apk
62.22KB
2025-09-06 19:20:42
py3-socketio-doc-5.13.0-r0.apk
36.01KB
2025-09-06 19:20:42
py3-socketio-pyc-5.13.0-r0.apk
126.73KB
2025-09-06 19:20:42
py3-solidpython-1.1.2-r2.apk
78.70KB
2024-10-25 22:02:54
py3-solidpython-pyc-1.1.2-r2.apk
119.96KB
2024-10-25 22:02:54
py3-sortedcollections-2.1.0-r5.apk
10.66KB
2024-10-25 22:02:54
py3-sortedcollections-pyc-2.1.0-r5.apk
13.87KB
2024-10-25 22:02:54
py3-spake2-0.9-r0.apk
30.14KB
2024-10-25 22:02:54
py3-spake2-pyc-0.9-r0.apk
43.51KB
2024-10-25 22:02:54
py3-sphinx-argparse-0.5.2-r0.apk
14.18KB
2024-10-25 22:02:54
py3-sphinx-argparse-pyc-0.5.2-r0.apk
22.12KB
2024-10-25 22:02:54
py3-sphinx-autoapi-3.6.1-r0.apk
31.34KB
2025-10-11 13:59:34
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
58.92KB
2025-10-11 13:59:34
py3-sphinx-theme-better-0.1.5-r7.apk
10.53KB
2024-10-25 22:02:54
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
1.98KB
2024-10-25 22:02:54
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
1.16MB
2024-10-25 22:02:54
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2.35KB
2024-10-25 22:02:54
py3-sphinx-theme-bw-0.1.8-r7.apk
64.76KB
2024-10-25 22:02:54
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
1.83KB
2024-10-25 22:02:54
py3-sphinx-theme-cloud-1.10.0-r2.apk
81.31KB
2024-10-25 22:02:54
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
42.78KB
2024-10-25 22:02:54
py3-sphinx-theme-epfl-1.1.1-r9.apk
29.85KB
2024-10-25 22:02:54
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2.47KB
2024-10-25 22:02:54
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2.45MB
2024-10-25 22:02:54
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
5.51KB
2024-10-25 22:02:54
py3-sphinx-theme-readable-1.3.0-r9.apk
8.82KB
2024-10-25 22:02:54
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2.21KB
2024-10-25 22:02:54
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
7.63KB
2024-10-25 22:02:54
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
9.28KB
2024-10-25 22:02:54
py3-sphinxcontrib-adadomain-0.2-r9.apk
9.01KB
2024-10-25 22:02:54
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
11.98KB
2024-10-25 22:02:54
py3-sphinxcontrib-bitbucket-1.0-r8.apk
5.67KB
2024-10-25 22:02:54
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
4.08KB
2024-10-25 22:02:54
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
7.54KB
2024-10-25 22:02:54
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
9.15KB
2024-10-25 22:02:54
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
5.73KB
2024-10-25 22:02:54
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
4.25KB
2024-10-25 22:02:54
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
17.92KB
2024-10-25 22:02:54
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
33.50KB
2024-10-25 22:02:54
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
9.12KB
2024-10-25 22:02:54
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
3.48KB
2024-10-25 22:02:54
py3-sphinxcontrib-gist-0.1.0-r9.apk
3.91KB
2024-10-25 22:02:54
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
3.33KB
2024-10-25 22:02:54
py3-sphinxcontrib-git-11.0.0-r7.apk
17.13KB
2024-10-25 22:02:54
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
6.62KB
2024-10-25 22:02:54
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
7.86KB
2024-10-25 22:02:54
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
7.62KB
2024-10-25 22:02:54
py3-sphinxcontrib-htsql-0.1.5-r8.apk
10.56KB
2024-10-25 22:02:54
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
15.03KB
2024-10-25 22:02:54
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
18.10KB
2024-10-25 22:02:54
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
4.34KB
2024-10-25 22:02:54
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
33.89KB
2024-10-25 22:02:54
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
21.37KB
2024-10-25 22:02:54
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
43.84KB
2024-10-25 22:02:54
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
10.53KB
2024-10-25 22:02:54
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
15.08KB
2024-10-25 22:02:54
py3-sphinxcontrib-issuetracker-0.11-r7.apk
11.10KB
2024-10-25 22:02:54
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
11.95KB
2024-10-25 22:02:54
py3-sphinxcontrib-lassodomain-0.4-r8.apk
7.92KB
2024-10-25 22:02:54
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
10.60KB
2024-10-25 22:02:54
py3-sphinxcontrib-manpage-0.6-r8.apk
4.13KB
2024-10-25 22:02:54
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
3.15KB
2024-10-25 22:02:54
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
10.26KB
2025-05-10 06:12:18
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
16.02KB
2025-05-10 06:12:18
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
8.71KB
2024-10-25 22:02:54
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
11.19KB
2024-10-25 22:02:54
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
11.34KB
2025-07-12 19:07:47
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
17.20KB
2025-07-12 19:07:47
py3-sphinxcontrib-plantuml-0.30-r0.apk
12.04KB
2025-05-10 06:12:18
py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk
18.62KB
2025-05-10 06:12:18
py3-sphinxcontrib-programoutput-0.17-r5.apk
16.47KB
2024-10-25 22:02:54
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
23.85KB
2024-10-25 22:02:54
py3-sphinxcontrib-restbuilder-0.3-r6.apk
11.32KB
2024-10-25 22:02:54
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
20.50KB
2024-10-25 22:02:54
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
7.65KB
2024-10-25 22:02:54
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
9.30KB
2024-10-25 22:02:54
py3-sphinxcontrib-slide-1.0.0-r4.apk
4.89KB
2025-05-14 03:10:48
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
5.56KB
2025-05-14 03:10:48
py3-sphinxcontrib-spelling-8.0.1-r0.apk
13.19KB
2025-07-14 00:43:06
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
18.93KB
2025-07-14 00:43:06
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
7.35KB
2024-10-25 22:02:54
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
4.93KB
2024-10-25 22:02:54
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
6.11KB
2024-10-25 22:02:54
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
5.65KB
2024-10-25 22:02:54
py3-spidev-3.6-r1.apk
13.12KB
2024-10-25 22:02:54
py3-spin-0.8-r0.apk
18.52KB
2024-10-25 22:02:54
py3-spin-pyc-0.8-r0.apk
24.49KB
2024-10-25 22:02:54
py3-spinners-0.0.24-r5.apk
6.09KB
2024-10-25 22:02:54
py3-spinners-pyc-0.0.24-r5.apk
6.25KB
2024-10-25 22:02:54
py3-spnego-0.11.2-r0.apk
117.91KB
2025-01-16 09:52:21
py3-spnego-pyc-0.11.2-r0.apk
219.07KB
2025-01-16 09:52:21
py3-spotipy-2.24.0-r3.apk
29.61KB
2025-10-14 18:05:14
py3-spotipy-pyc-2.24.0-r3.apk
49.49KB
2025-10-14 18:05:14
py3-sqlmodel-0.0.22-r1.apk
26.32KB
2024-12-07 01:03:55
py3-sqlmodel-pyc-0.0.22-r1.apk
41.18KB
2024-12-07 01:03:55
py3-sssd-2.11.1-r2.apk
57.72KB
2025-11-21 00:18:03
py3-sssd-pyc-2.11.1-r2.apk
48.62KB
2025-11-21 00:18:03
py3-sstash-0.17-r9.apk
7.73KB
2024-10-25 22:02:54
py3-sstash-pyc-0.17-r9.apk
10.29KB
2024-10-25 22:02:54
py3-svglib-1.5.1-r0.apk
29.90KB
2025-06-10 21:55:31
py3-svglib-doc-1.5.1-r0.apk
2.08KB
2025-06-10 21:55:31
py3-svglib-pyc-1.5.1-r0.apk
42.41KB
2025-06-10 21:55:31
py3-svgpath-7.0-r0.apk
18.60KB
2025-07-08 09:37:34
py3-svgpath-pyc-7.0-r0.apk
23.81KB
2025-07-08 09:37:34
py3-swagger-ui-bundle-1.1.0-r1.apk
2.48MB
2024-10-25 22:02:54
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2.11KB
2024-10-25 22:02:54
py3-synapse-auto-accept-invite-1.2.0-r1.apk
9.76KB
2025-08-09 19:28:02
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
5.62KB
2025-08-09 19:28:02
py3-tailer-0.4.1-r7.apk
6.87KB
2024-10-25 22:02:54
py3-tailer-pyc-0.4.1-r7.apk
6.63KB
2024-10-25 22:02:54
py3-tasklib-2.5.1-r2.apk
23.10KB
2024-10-25 22:02:54
py3-tasklib-pyc-2.5.1-r2.apk
51.53KB
2024-10-25 22:02:54
py3-telegram-bot-22.5-r0.apk
497.48KB
2025-11-20 12:14:34
py3-telegram-bot-pyc-22.5-r0.apk
802.47KB
2025-11-20 12:14:34
py3-telegram-text-0.2.0-r1.apk
9.19KB
2024-10-25 22:02:54
py3-telegram-text-pyc-0.2.0-r1.apk
12.95KB
2024-10-25 22:02:54
py3-telemetrix-1.20-r3.apk
20.94KB
2024-10-25 22:02:54
py3-telemetrix-pyc-1.20-r3.apk
31.04KB
2024-10-25 22:02:54
py3-teletype-1.3.4-r3.apk
15.41KB
2024-10-25 22:02:54
py3-teletype-pyc-1.3.4-r3.apk
20.53KB
2024-10-25 22:02:54
py3-testresources-2.0.1-r6.apk
17.35KB
2024-10-25 22:02:54
py3-testresources-pyc-2.0.1-r6.apk
15.95KB
2024-10-25 22:02:54
py3-textual-5.3.0-r0.apk
611.76KB
2025-10-27 10:46:22
py3-textual-pyc-5.3.0-r0.apk
1.19MB
2025-10-27 10:46:22
py3-thefuzz-0.22.1-r1.apk
10.01KB
2024-10-25 22:02:54
py3-thefuzz-pyc-0.22.1-r1.apk
8.95KB
2024-10-25 22:02:54
py3-ticket-auth-0.1.4-r9.apk
5.95KB
2024-10-25 22:02:54
py3-ticket-auth-pyc-0.1.4-r9.apk
6.38KB
2024-10-25 22:02:54
py3-tidalapi-0.8.4-r0.apk
50.12KB
2025-07-12 19:08:13
py3-tidalapi-pyc-0.8.4-r0.apk
90.71KB
2025-07-12 19:08:13
py3-timeago-1.0.16-r0.apk
23.60KB
2024-10-25 22:02:54
py3-timeago-doc-1.0.16-r0.apk
2.85KB
2024-10-25 22:02:54
py3-timeago-pyc-1.0.16-r0.apk
27.66KB
2024-10-25 22:02:54
py3-tls_parser-2.0.2-r0.apk
10.31KB
2025-07-14 06:41:46
py3-tls_parser-pyc-2.0.2-r0.apk
17.16KB
2025-07-14 06:41:46
py3-tlslite-ng-0.7.6-r8.apk
178.50KB
2024-10-25 22:02:54
py3-tlslite-ng-pyc-0.7.6-r8.apk
274.77KB
2024-10-25 22:02:54
py3-tokenizers-0.21.2-r0.apk
1.65MB
2025-06-30 01:41:34
py3-tokenizers-pyc-0.21.2-r0.apk
28.86KB
2025-06-30 01:41:34
py3-tpm2-pytss-2.3.0-r1.apk
291.69KB
2024-10-25 22:02:54
py3-tpm2-pytss-pyc-2.3.0-r1.apk
236.10KB
2024-10-25 22:02:54
py3-trakit-0.2.5-r0.apk
19.25KB
2025-11-24 03:06:12
py3-trakit-pyc-0.2.5-r0.apk
16.68KB
2025-11-24 03:06:12
py3-transitions-0.9.2-r0.apk
97.74KB
2024-10-25 22:02:54
py3-transitions-pyc-0.9.2-r0.apk
128.80KB
2024-10-25 22:02:54
py3-translationstring-1.4-r4.apk
9.15KB
2024-10-25 22:02:54
py3-translationstring-pyc-1.4-r4.apk
8.77KB
2024-10-25 22:02:54
py3-trimesh-4.10.0-r0.apk
676.16KB
2025-11-25 07:17:46
py3-trimesh-pyc-4.10.0-r0.apk
789.61KB
2025-11-25 07:17:46
py3-trivup-0.12.2-r2.apk
34.02KB
2024-10-25 22:02:54
py3-trivup-pyc-0.12.2-r2.apk
54.91KB
2024-10-25 22:02:54
py3-truststore-0.10.4-r0.apk
17.81KB
2026-01-09 18:03:47
py3-truststore-pyc-0.10.4-r0.apk
25.76KB
2026-01-09 18:03:47
py3-twiggy-0.5.1-r4.apk
24.13KB
2024-10-25 22:02:54
py3-twiggy-pyc-0.5.1-r4.apk
38.68KB
2024-10-25 22:02:54
py3-typing_inspect-0.9.0-r2.apk
9.91KB
2024-10-25 22:02:54
py3-typing_inspect-pyc-0.9.0-r2.apk
14.23KB
2024-10-25 22:02:54
py3-u-msgpack-2.8.0-r2.apk
10.91KB
2024-10-25 22:02:54
py3-u-msgpack-pyc-2.8.0-r2.apk
16.23KB
2024-10-25 22:02:54
py3-uacme-desec-1.2.1-r0.apk
5.70KB
2024-10-25 22:02:54
py3-uacme-desec-doc-1.2.1-r0.apk
2.19KB
2024-10-25 22:02:54
py3-uacme-desec-pyc-1.2.1-r0.apk
6.77KB
2024-10-25 22:02:54
py3-uc-micro-py-1.0.3-r0.apk
6.54KB
2025-10-27 10:46:22
py3-uc-micro-py-pyc-1.0.3-r0.apk
4.60KB
2025-10-27 10:46:22
py3-unearth-0.18.0-r0.apk
40.76KB
2025-10-14 17:54:37
py3-unearth-pyc-0.18.0-r0.apk
82.33KB
2025-10-14 17:54:37
py3-unicorn-2.1.4-r0.apk
50.58KB
2025-10-14 17:38:13
py3-unicorn-pyc-2.1.4-r0.apk
92.34KB
2025-10-14 17:38:13
py3-unicrypto-0.0.12-r0.apk
58.58KB
2025-11-03 10:48:46
py3-unicrypto-pyc-0.0.12-r0.apk
92.17KB
2025-11-03 10:48:46
py3-unidns-0.0.4-r0.apk
14.37KB
2025-11-03 10:48:46
py3-unidns-examples-0.0.4-r0.apk
2.61KB
2025-11-03 10:48:46
py3-unidns-pyc-0.0.4-r0.apk
24.98KB
2025-11-03 10:48:46
py3-unoconv-0.9.0-r4.apk
25.90KB
2025-05-14 03:10:48
py3-uptime-3.0.1-r9.apk
9.56KB
2024-10-25 22:02:54
py3-uptime-pyc-3.0.1-r9.apk
8.70KB
2024-10-25 22:02:54
py3-urlobject-2.4.3-r9.apk
14.76KB
2024-10-25 22:02:54
py3-urlobject-pyc-2.4.3-r9.apk
24.68KB
2024-10-25 22:02:54
py3-us-3.2.0-r0.apk
14.31KB
2024-10-25 22:02:54
py3-us-pyc-3.2.0-r0.apk
15.19KB
2024-10-25 22:02:54
py3-utc-0.0.3-r9.apk
3.47KB
2024-10-25 22:02:54
py3-utc-pyc-0.0.3-r9.apk
2.76KB
2024-10-25 22:02:54
py3-vatnumber-1.2-r9.apk
18.62KB
2024-10-25 22:02:54
py3-vatnumber-pyc-1.2-r9.apk
8.62KB
2024-10-25 22:02:54
py3-vdf-3.4-r2.apk
11.16KB
2025-10-17 02:45:54
py3-vdf-pyc-3.4-r2.apk
16.52KB
2025-10-17 02:45:54
py3-venusian-3.1.1-r0.apk
14.35KB
2024-12-07 23:14:29
py3-venusian-pyc-3.1.1-r0.apk
12.50KB
2024-12-07 23:14:29
py3-virtualenvwrapper-6.1.1-r1.apk
21.63KB
2025-12-18 13:03:27
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
11.75KB
2025-12-18 13:03:27
py3-visitor-0.1.3-r7.apk
4.62KB
2024-10-25 22:02:54
py3-visitor-pyc-0.1.3-r7.apk
2.62KB
2024-10-25 22:02:54
py3-ward-0.67.0_beta0-r2.apk
40.71KB
2024-10-25 22:02:54
py3-ward-pyc-0.67.0_beta0-r2.apk
79.55KB
2024-10-25 22:02:54
py3-wbdata-1.0.0-r1.apk
18.49KB
2024-10-25 22:02:54
py3-wbdata-pyc-1.0.0-r1.apk
19.58KB
2024-10-25 22:02:54
py3-webrtcvad-2.0.10-r1.apk
23.50KB
2024-10-25 22:02:54
py3-webrtcvad-pyc-2.0.10-r1.apk
2.79KB
2024-10-25 22:02:54
py3-wg-netns-2.3.1-r1.apk
7.57KB
2024-10-25 22:02:54
py3-wg-netns-pyc-2.3.1-r1.apk
13.39KB
2024-10-25 22:02:54
py3-wgconfig-1.1.0-r0.apk
21.97KB
2025-01-29 18:00:50
py3-wgconfig-pyc-1.1.0-r0.apk
11.70KB
2025-01-29 18:00:50
py3-wifi-0.3.8-r7.apk
13.01KB
2024-10-25 22:02:54
py3-wifi-pyc-0.3.8-r7.apk
13.74KB
2024-10-25 22:02:54
py3-wikipedia-1.4.0-r0.apk
12.21KB
2025-09-14 10:34:12
py3-wikipedia-doc-1.4.0-r0.apk
4.14KB
2025-09-14 10:34:12
py3-wikipedia-pyc-1.4.0-r0.apk
16.21KB
2025-09-14 10:34:12
py3-winacl-0.1.9-r1.apk
82.68KB
2025-05-29 15:00:19
py3-winacl-pyc-0.1.9-r1.apk
131.24KB
2025-05-29 15:00:19
py3-wsgiprox-1.5.2-r1.apk
16.68KB
2024-10-25 22:02:54
py3-wsgiprox-pyc-1.5.2-r1.apk
28.17KB
2024-10-25 22:02:54
py3-wstools-0.4.10-r7.apk
53.20KB
2024-10-25 22:02:54
py3-wstools-pyc-0.4.10-r7.apk
110.75KB
2024-10-25 22:02:54
py3-wtf-peewee-3.0.6-r0.apk
12.72KB
2024-10-25 22:02:54
py3-wtf-peewee-pyc-3.0.6-r0.apk
24.66KB
2024-10-25 22:02:54
py3-x-wr-timezone-2.0.1-r0.apk
11.65KB
2025-02-09 17:13:54
py3-x-wr-timezone-pyc-2.0.1-r0.apk
7.09KB
2025-02-09 17:13:54
py3-xapp-3.0.1-r0.apk
72.49KB
2026-01-09 18:03:48
py3-xdoctest-1.2.0-r0.apk
312.50KB
2024-11-21 15:32:12
py3-xsdata-25.7-r0.apk
189.67KB
2025-07-07 23:47:53
py3-xsdata-pyc-25.7-r0.apk
392.70KB
2025-07-07 23:47:53
py3-yapsy-1.12.2-r7.apk
32.24KB
2024-10-25 22:02:54
py3-yapsy-pyc-1.12.2-r7.apk
47.14KB
2024-10-25 22:02:54
py3-yara-4.5.4-r0.apk
17.36KB
2025-08-13 18:32:37
py3-yosys-0.57-r0.apk
556.59KB
2025-10-25 00:50:11
py3-youtube-search-1.6.6-r5.apk
78.08KB
2025-05-14 21:16:03
py3-youtube-search-pyc-1.6.6-r5.apk
95.30KB
2025-05-14 21:16:03
py3-zimscraperlib-3.4.0-r0.apk
51.62KB
2024-11-06 11:41:19
py3-zimscraperlib-pyc-3.4.0-r0.apk
68.37KB
2024-11-06 11:41:19
py3-zipfile2-0.0.12-r0.apk
45.16KB
2024-10-25 22:02:54
py3-zipfile2-pyc-0.0.12-r0.apk
28.93KB
2024-10-25 22:02:54
py3-zope-configuration-7.0-r0.apk
38.66KB
2025-12-02 02:39:48
py3-zope-configuration-pyc-7.0-r0.apk
49.00KB
2025-12-02 02:39:48
py3-zope-i18nmessageid-8.2-r0.apk
14.03KB
2025-12-25 14:29:40
py3-zope-i18nmessageid-pyc-8.2-r0.apk
8.40KB
2025-12-25 14:29:40
py3-zope-schema-7.0.1-r3.apk
45.26KB
2024-10-25 22:02:54
py3-zope-schema-pyc-7.0.1-r3.apk
61.07KB
2024-10-25 22:02:54
pyinfra-3.3.1-r0.apk
191.98KB
2025-07-16 03:27:19
pyinfra-pyc-3.3.1-r0.apk
361.03KB
2025-07-16 03:27:19
pympress-1.8.6-r0.apk
179.54KB
2025-11-10 07:46:53
pympress-doc-1.8.6-r0.apk
74.50KB
2025-11-10 07:46:53
pympress-lang-1.8.6-r0.apk
58.79KB
2025-11-10 07:46:53
pympress-pyc-1.8.6-r0.apk
181.83KB
2025-11-10 07:46:53
pyonji-0.1.0-r11.apk
3.00MB
2025-12-05 00:48:52
pypy-7.3.19-r0.apk
17.89MB
2025-11-01 17:12:56
pypy-bootstrap-7.3.19-r0.apk
18.55MB
2025-11-01 17:12:57
pypy-dev-7.3.19-r0.apk
74.64KB
2025-11-01 17:12:57
pypy-tkinter-7.3.19-r0.apk
446.26KB
2025-11-01 17:12:57
pypy3-7.3.19-r0.apk
17.53MB
2025-11-01 17:12:57
pypy3-dev-7.3.19-r0.apk
572.69KB
2025-11-01 17:12:57
pypy3-pyc-7.3.19-r0.apk
5.84MB
2025-11-01 17:12:57
pypy3-tests-7.3.19-r0.apk
12.86MB
2025-11-01 17:12:57
pypy3-tkinter-7.3.19-r0.apk
298.80KB
2025-11-01 17:12:57
pypykatz-0.6.13-r1.apk
316.25KB
2026-01-02 22:14:01
pypykatz-pyc-0.6.13-r1.apk
723.89KB
2026-01-02 22:14:01
pyradio-0.9.3.11-r0.apk
870.80KB
2024-10-25 22:02:56
pyradio-doc-0.9.3.11-r0.apk
112.65KB
2024-10-25 22:02:56
pyradio-pyc-0.9.3.11-r0.apk
810.33KB
2024-10-25 22:02:56
q6voiced-0.2.1-r0.apk
4.47KB
2025-12-30 15:28:23
q6voiced-doc-0.2.1-r0.apk
2.27KB
2025-12-30 15:28:23
q6voiced-openrc-0.2.1-r0.apk
1.80KB
2025-12-30 15:28:23
q6voiced-systemd-0.2.1-r0.apk
1.84KB
2025-12-30 15:28:23
qadwaitadecorations-0.1.7-r1.apk
45.46KB
2025-10-05 13:28:04
qbittorrent-cli-2.2.0-r5.apk
5.93MB
2025-12-05 00:48:52
qdjango-0.6.2-r1.apk
97.34KB
2024-10-25 22:02:56
qdjango-dev-0.6.2-r1.apk
14.06KB
2024-10-25 22:02:56
qflipper-1.3.3-r1.apk
476.04KB
2024-10-25 22:02:56
qflipper-gui-1.3.3-r1.apk
1.07MB
2024-10-25 22:02:56
qgis-3.44.5-r0.apk
50.87MB
2025-12-05 00:48:53
qgis-dev-3.44.5-r0.apk
3.59MB
2025-12-05 00:48:53
qgis-doc-3.44.5-r0.apk
3.05KB
2025-12-05 00:48:53
qgis-grass-3.44.5-r0.apk
1.43MB
2025-12-05 00:48:53
qgis-lang-3.44.5-r0.apk
33.46MB
2025-12-05 00:48:53
qgis-server-3.44.5-r0.apk
1.89MB
2025-12-05 00:48:53
qmk-cli-1.2.0-r0.apk
14.73KB
2025-11-29 14:10:43
qmk-cli-pyc-1.2.0-r0.apk
22.97KB
2025-11-29 14:10:43
qmk-cli-udev-1.2.0-r0.apk
2.38KB
2025-11-29 14:10:43
qml-box2d-0_git20180406-r0.apk
139.23KB
2024-10-25 22:02:57
qoi-0.0.0_git20230312-r0.apk
1.47KB
2024-10-25 22:02:57
qoi-dev-0.0.0_git20230312-r0.apk
6.84KB
2024-10-25 22:02:57
qoiconv-0.0.0_git20230312-r0.apk
29.12KB
2024-10-25 22:02:57
qownnotes-25.12.5-r0.apk
2.60MB
2025-12-15 00:31:16
qownnotes-lang-25.12.5-r0.apk
4.88MB
2025-12-15 00:31:16
qpdfview-0.5-r2.apk
0.98MB
2025-01-29 22:02:11
qpdfview-doc-0.5-r2.apk
4.25KB
2025-01-29 22:02:11
qperf-0.4.11-r2.apk
30.44KB
2025-05-14 03:10:49
qperf-doc-0.4.11-r2.apk
5.57KB
2025-05-14 03:10:49
qqc2-suru-style-0.20230206-r1.apk
172.01KB
2024-10-25 22:02:57
qspectrumanalyzer-2.2.0-r5.apk
53.84KB
2024-10-25 22:02:57
qspectrumanalyzer-pyc-2.2.0-r5.apk
62.29KB
2024-10-25 22:02:57
qsstv-9.5.8-r2.apk
974.31KB
2024-10-25 22:02:57
qstardict-2.0.2-r1.apk
445.87KB
2024-11-24 12:45:02
qstardict-doc-2.0.2-r1.apk
10.64KB
2024-11-24 12:45:02
qsynth-1.0.3-r0.apk
434.94KB
2025-11-25 13:01:56
qsynth-doc-1.0.3-r0.apk
4.37KB
2025-11-25 13:01:56
qt-creator-18.0.1-r0.apk
48.04MB
2025-12-12 20:54:55
qt-creator-dbg-18.0.1-r0.apk
471.27MB
2025-12-12 20:55:01
qt-wayland-shell-helpers-0.1.1-r3.apk
12.94KB
2024-10-25 22:02:58
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
3.94KB
2024-10-25 22:02:58
qt5ct-1.9-r0.apk
222.49KB
2025-11-19 06:37:04
qt5ct-dev-1.9-r0.apk
1.54KB
2025-11-19 06:37:04
qt6-qtgraphs-6.10.1-r0.apk
1.09MB
2025-12-10 20:29:01
qt6-qtgraphs-dev-6.10.1-r0.apk
118.42KB
2025-12-10 20:29:01
qt6ct-0.11-r2.apk
190.08KB
2025-12-05 00:48:53
qtile-0.33.0-r0.apk
468.95KB
2025-09-17 10:56:52
qtile-pyc-0.33.0-r0.apk
857.94KB
2025-09-17 10:56:52
qtmir-0.7.2_git20250407-r5.apk
503.87KB
2025-12-18 16:24:20
qtmir-dev-0.7.2_git20250407-r5.apk
6.64KB
2025-12-18 16:24:20
qtox-1.18.3-r0.apk
5.05MB
2025-11-29 18:53:56
qtpass-1.4.0-r0.apk
420.04KB
2024-10-25 22:02:58
qtpass-doc-1.4.0-r0.apk
2.14KB
2024-10-25 22:02:58
quakespasm-0.96.3-r0.apk
464.52KB
2024-10-25 22:02:58
quark-0.5-r0.apk
1.97MB
2025-10-11 20:10:48
quark-doc-0.5-r0.apk
4.41KB
2025-10-11 20:10:48
queercat-1.0.0-r0.apk
7.28KB
2024-10-25 22:02:58
quickemu-4.9.7-r0.apk
56.23KB
2025-06-15 23:03:39
quickemu-doc-4.9.7-r0.apk
24.64KB
2025-06-15 23:03:39
quodlibet-4.7.1-r0.apk
1.04MB
2025-09-16 21:53:05
quodlibet-bash-completion-4.7.1-r0.apk
4.50KB
2025-09-16 21:53:05
quodlibet-doc-4.7.1-r0.apk
8.66KB
2025-09-16 21:53:05
quodlibet-lang-4.7.1-r0.apk
1.42MB
2025-09-16 21:53:05
quodlibet-pyc-4.7.1-r0.apk
1.81MB
2025-09-16 21:53:05
quodlibet-zsh-completion-4.7.1-r0.apk
2.71KB
2025-09-16 21:53:05
r2ghidra-6.0.8-r0.apk
11.46MB
2026-01-05 20:54:52
radarr-6.0.4.10291-r0.apk
28.14MB
2025-11-17 16:44:41
radarr-openrc-6.0.4.10291-r0.apk
2.04KB
2025-11-17 16:44:41
raku-distribution-builder-makefromjson-0.6-r1.apk
41.37KB
2025-08-29 11:22:38
raku-distribution-builder-makefromjson-doc-0.6-r1.apk
2.35KB
2025-08-29 11:22:38
raku-inline-perl5-0.60_git20250327-r1.apk
2.09MB
2025-08-29 11:22:38
raku-inline-perl5-doc-0.60_git20250327-r1.apk
6.29KB
2025-08-29 11:22:38
raku-system-query-0.1.6-r1.apk
16.73KB
2025-08-29 11:22:38
raku-system-query-doc-0.1.6-r1.apk
2.51KB
2025-08-29 11:22:38
randrctl-1.10.0-r0.apk
27.93KB
2024-11-17 23:22:12
randrctl-pyc-1.10.0-r0.apk
31.19KB
2024-11-17 23:22:12
rankwidth-0.9-r4.apk
5.27KB
2025-10-28 03:54:50
rankwidth-dev-0.9-r4.apk
2.93KB
2025-10-28 03:54:50
rankwidth-doc-0.9-r4.apk
2.91KB
2025-10-28 03:54:50
rankwidth-libs-0.9-r4.apk
4.77KB
2025-10-28 03:54:50
rankwidth-static-0.9-r4.apk
4.26KB
2025-10-28 03:54:50
raspberrypi-usbboot-20250227-r0.apk
885.81KB
2025-06-09 11:50:20
rathole-0.5.0-r0.apk
1.35MB
2024-10-25 22:02:59
rattler-build-0.18.0-r0.apk
5.63MB
2024-10-25 22:02:59
rattler-build-bash-completion-0.18.0-r0.apk
3.62KB
2024-10-25 22:02:59
rattler-build-doc-0.18.0-r0.apk
6.72KB
2024-10-25 22:02:59
rattler-build-fish-completion-0.18.0-r0.apk
4.72KB
2024-10-25 22:02:59
rattler-build-zsh-completion-0.18.0-r0.apk
5.44KB
2024-10-25 22:02:59
rauc-1.10.1-r0.apk
142.53KB
2024-10-25 22:02:59
rauc-doc-1.10.1-r0.apk
4.17KB
2024-10-25 22:02:59
rauc-service-1.10.1-r0.apk
3.75KB
2024-10-25 22:02:59
razercfg-0.42-r7.apk
77.57KB
2024-10-25 22:02:59
razercfg-gui-0.42-r7.apk
18.97KB
2024-10-25 22:02:59
razercfg-openrc-0.42-r7.apk
1.72KB
2024-10-25 22:02:59
razercfg-pyc-0.42-r7.apk
35.62KB
2024-10-25 22:02:59
rclone-browser-1.8.0-r1.apk
333.62KB
2024-10-25 22:02:59
rdedup-3.2.1-r5.apk
793.88KB
2024-10-25 22:02:59
rdrview-0.1.3-r0.apk
27.62KB
2025-02-22 21:30:45
rdrview-doc-0.1.3-r0.apk
3.69KB
2025-02-22 21:30:45
reaction-2.2.1-r0.apk
1.41MB
2025-09-24 01:32:15
reaction-openrc-2.2.1-r0.apk
1.83KB
2025-09-24 01:32:15
reaction-tools-2.2.1-r0.apk
4.96KB
2025-09-24 01:32:15
readosm-1.1.0-r3.apk
15.11KB
2025-05-14 03:10:49
readosm-dev-1.1.0-r3.apk
20.26KB
2025-05-14 03:10:49
reap-0.2-r0.apk
5.04KB
2025-09-13 19:23:35
reap-doc-0.2-r0.apk
2.67KB
2025-09-13 19:23:35
reason-3.8.2-r2.apk
18.62MB
2025-10-25 08:08:21
reason-rtop-3.8.2-r2.apk
24.27MB
2025-10-25 08:08:21
reaver-wps-fork-t6x-1.6.6-r1.apk
441.62KB
2024-10-25 22:03:00
recoll-1.43.9-r0.apk
3.21MB
2025-12-28 10:01:10
recoll-dev-1.43.9-r0.apk
55.14KB
2025-12-28 10:01:10
recoll-doc-1.43.9-r0.apk
33.69KB
2025-12-28 10:01:10
recyclarr-7.5.2-r0.apk
3.04MB
2025-12-13 19:37:09
recyclarr-doc-7.5.2-r0.apk
2.27KB
2025-12-13 19:37:09
redhat-fonts-4.1.0-r1.apk
808.99KB
2025-08-09 19:28:09
redlib-0.36.0-r0.apk
2.94MB
2025-10-27 10:46:22
refind-0.14.2-r0.apk
1.01MB
2024-10-25 22:03:00
refind-doc-0.14.2-r0.apk
14.28KB
2024-10-25 22:03:00
refine-0.7.0-r0.apk
35.54KB
2026-01-04 16:48:25
refine-lang-0.7.0-r0.apk
31.66KB
2026-01-04 16:48:25
reg-0.16.1-r33.apk
4.83MB
2025-12-05 00:48:53
regal-0.36.1-r1.apk
12.25MB
2025-12-05 00:48:54
regal-bash-completion-0.36.1-r1.apk
6.10KB
2025-12-05 00:48:54
regal-fish-completion-0.36.1-r1.apk
4.32KB
2025-12-05 00:48:54
regal-zsh-completion-0.36.1-r1.apk
4.04KB
2025-12-05 00:48:54
regclient-0.11.1-r0.apk
14.33MB
2025-12-08 12:41:51
remake-1.5-r1.apk
137.30KB
2024-10-25 22:03:01
remake-dev-1.5-r1.apk
2.93KB
2024-10-25 22:03:01
remake-doc-1.5-r1.apk
201.65KB
2024-10-25 22:03:01
remake-make-1.5-r1.apk
1.54KB
2024-10-25 22:03:01
remco-0.12.5-r5.apk
10.09MB
2025-12-05 00:48:54
remco-doc-0.12.5-r5.apk
2.26KB
2025-12-05 00:48:54
remco-openrc-0.12.5-r5.apk
1.70KB
2025-12-05 00:48:54
remind-caldav-0.8.0-r4.apk
17.68KB
2024-10-25 22:03:01
remind-caldav-pyc-0.8.0-r4.apk
6.14KB
2024-10-25 22:03:01
repgrep-0.15.0-r0.apk
1.17MB
2024-10-25 22:03:01
repgrep-bash-completion-0.15.0-r0.apk
1.64KB
2024-10-25 22:03:01
repgrep-doc-0.15.0-r0.apk
6.50KB
2024-10-25 22:03:01
repgrep-fish-completion-0.15.0-r0.apk
4.15KB
2024-10-25 22:03:01
repgrep-zsh-completion-0.15.0-r0.apk
1.65KB
2024-10-25 22:03:01
repo-2.60-r0.apk
16.84KB
2025-12-12 21:49:31
repo-doc-2.60-r0.apk
39.59KB
2025-12-12 21:49:31
repowerd-2023.07-r3.apk
853.57KB
2025-02-22 16:39:17
repowerd-openrc-2023.07-r3.apk
1.68KB
2025-02-22 16:39:17
reprotest-0.7.32-r0.apk
80.29KB
2025-11-02 16:06:20
reprotest-pyc-0.7.32-r0.apk
102.92KB
2025-11-02 16:06:20
reredirect-0.3-r0.apk
8.73KB
2024-10-25 22:03:01
reredirect-doc-0.3-r0.apk
2.84KB
2024-10-25 22:03:01
resources-1.9.1-r0.apk
2.38MB
2025-11-21 11:54:31
resources-lang-1.9.1-r0.apk
210.73KB
2025-11-21 11:54:31
responder-3.1.7.0-r0.apk
747.31KB
2025-10-14 17:54:51
restart-services-0.17.0-r0.apk
11.51KB
2024-10-25 22:03:01
restart-services-doc-0.17.0-r0.apk
5.88KB
2024-10-25 22:03:01
restic.mk-0.4.0-r0.apk
2.91KB
2024-10-25 22:03:01
restinio-0.6.19-r1.apk
1.23KB
2024-12-14 23:23:00
restinio-dev-0.6.19-r1.apk
267.85KB
2024-12-14 23:23:00
rezolus-2.11.1-r3.apk
886.12KB
2024-10-25 22:03:01
rezolus-doc-2.11.1-r3.apk
3.36KB
2024-10-25 22:03:01
rezolus-openrc-2.11.1-r3.apk
2.07KB
2024-10-25 22:03:01
rgxg-0.1.2-r2.apk
13.25KB
2024-10-25 22:03:01
rgxg-dev-0.1.2-r2.apk
3.52KB
2024-10-25 22:03:01
rgxg-doc-0.1.2-r2.apk
12.32KB
2024-10-25 22:03:01
rhasspy-nlu-0.4.0-r3.apk
43.59KB
2024-10-25 22:03:01
rhasspy-nlu-pyc-0.4.0-r3.apk
72.87KB
2024-10-25 22:03:01
ri-li-2.0.1-r1.apk
18.26MB
2024-10-25 22:03:01
riemann-cli-0.8.0-r2.apk
515.01KB
2024-10-25 22:03:01
rinetd-0.73-r0.apk
13.99KB
2024-10-25 22:03:01
rinetd-doc-0.73-r0.apk
16.45KB
2024-10-25 22:03:01
rinetd-openrc-0.73-r0.apk
1.72KB
2024-10-25 22:03:01
rio-0.2.35-r0.apk
10.12MB
2025-11-14 23:57:59
rio-doc-0.2.35-r0.apk
2.28KB
2025-11-14 23:57:59
rio-terminfo-0.2.35-r0.apk
3.42KB
2025-11-14 23:57:59
ripasso-cursive-0.7.0-r0.apk
3.06MB
2025-05-23 12:26:39
river-bedload-0.1.1_git20250823-r0.apk
137.00KB
2025-09-22 04:17:13
river-bedload-doc-0.1.1_git20250823-r0.apk
2.30KB
2025-09-22 04:17:13
river-bedload-zsh-completion-0.1.1_git20250823-r0.apk
1.87KB
2025-09-22 04:17:13
river-luatile-0.1.4-r0.apk
248.49KB
2025-05-19 16:10:00
river-shifttags-0.2.1-r1.apk
6.01KB
2025-05-14 03:10:50
river-shifttags-doc-0.2.1-r1.apk
2.37KB
2025-05-14 03:10:50
rivercarro-0.6.0-r0.apk
151.66KB
2025-10-07 00:15:40
rivercarro-doc-0.6.0-r0.apk
3.10KB
2025-10-07 00:15:40
rizin-0.8.1-r0.apk
2.66MB
2025-06-30 19:49:50
rizin-cutter-2.4.1-r1.apk
2.57MB
2026-01-07 02:06:09
rizin-cutter-dev-2.4.1-r1.apk
103.20KB
2026-01-07 02:06:09
rizin-dev-0.8.1-r0.apk
322.57KB
2025-06-30 19:49:50
rizin-doc-0.8.1-r0.apk
19.26KB
2025-06-30 19:49:50
rizin-libs-0.8.1-r0.apk
5.21MB
2025-06-30 19:49:50
rke-1.4.3-r20.apk
20.63MB
2025-12-05 00:48:54
rke-doc-1.4.3-r20.apk
2.96KB
2025-12-05 00:48:54
rmlint-2.10.2-r2.apk
140.94KB
2024-10-25 22:03:02
rmlint-doc-2.10.2-r2.apk
17.81KB
2024-10-25 22:03:02
rmlint-lang-2.10.2-r2.apk
18.90KB
2024-10-25 22:03:02
rmlint-shredder-2.10.2-r2.apk
95.96KB
2024-10-25 22:03:02
rmlint-shredder-pyc-2.10.2-r2.apk
124.50KB
2024-10-25 22:03:02
rmtfs-1.1.1-r1.apk
12.72KB
2025-12-29 21:59:22
rmtfs-doc-1.1.1-r1.apk
2.41KB
2025-12-29 21:59:22
rmtfs-openrc-1.1.1-r1.apk
2.12KB
2025-12-29 21:59:22
rmtfs-systemd-1.1.1-r1.apk
1.86KB
2025-12-29 21:59:22
rmtfs-udev-1.1.1-r1.apk
1.68KB
2025-12-29 21:59:22
rocm-cmake-6.4.3-r0.apk
28.44KB
2025-08-18 14:32:17
rocm-cmake-doc-6.4.3-r0.apk
2.42KB
2025-08-18 14:32:17
rocm-core-6.4.3-r0.apk
7.39KB
2025-08-18 14:32:17
rocm-core-dev-6.4.3-r0.apk
6.59KB
2025-08-18 14:32:17
rocm-core-doc-6.4.3-r0.apk
2.43KB
2025-08-18 14:32:17
rofi-json-menu-0.2.0-r1.apk
4.99KB
2024-10-25 22:03:02
rofi-pass-2.0.2-r2.apk
8.84KB
2024-10-25 22:03:02
rofi-pass-doc-2.0.2-r2.apk
4.96KB
2024-10-25 22:03:02
roll-2.6.1-r1.apk
12.51KB
2025-10-13 19:13:09
roll-bash-completion-2.6.1-r1.apk
1.84KB
2025-10-13 19:13:09
roll-doc-2.6.1-r1.apk
11.28KB
2025-10-13 19:13:09
ropgadget-7.7-r0.apk
25.92KB
2025-12-31 16:52:55
ropgadget-pyc-7.7-r0.apk
48.42KB
2025-12-31 16:52:55
rosdep-0.19.0-r6.apk
66.13KB
2024-10-25 22:03:02
rosdep-pyc-0.19.0-r6.apk
119.00KB
2024-10-25 22:03:02
rosenpass-0.2.2-r1.apk
1.03MB
2025-02-01 20:23:13
rpg-cli-1.2.0-r0.apk
586.27KB
2024-10-25 22:03:02
rpi-imager-1.9.0-r1.apk
699.40KB
2025-07-24 11:08:48
rpi-imager-doc-1.9.0-r1.apk
3.11KB
2025-07-24 11:08:48
rss-email-0.5.1-r0.apk
2.32MB
2025-08-10 14:21:05
rss-email-doc-0.5.1-r0.apk
6.40KB
2025-08-10 14:21:05
rsstail-2.2-r0.apk
8.68KB
2025-02-22 16:39:17
rsstail-doc-2.2-r0.apk
2.76KB
2025-02-22 16:39:17
rt5-5.0.8-r0.apk
16.92MB
2025-06-15 07:44:14
rt6-6.0.1-r0.apk
12.26MB
2025-08-12 10:48:42
rtl-power-fftw-20200601-r4.apk
58.83KB
2024-10-25 22:03:02
rtl-power-fftw-doc-20200601-r4.apk
8.16KB
2024-10-25 22:03:02
rtl8192eu-src-5.11.2.3_git20251220-r0.apk
2.59MB
2026-01-10 19:55:07
rtl8812au-src-5.6.4.2_git20250530-r0.apk
2.61MB
2025-05-31 19:24:01
rtl8821ce-src-6.16_git20250820-r0.apk
4.25MB
2025-09-01 10:03:45
rtl88x2bu-src-5.13.1_git20230711-r0.apk
4.00MB
2024-10-25 22:03:02
rtmidi-6.0.0-r0.apk
28.69KB
2024-10-25 22:03:02
rtmidi-dev-6.0.0-r0.apk
14.12KB
2024-10-25 22:03:02
rtptools-1.22-r2.apk
28.17KB
2024-10-25 22:03:02
rtptools-doc-1.22-r2.apk
12.62KB
2024-10-25 22:03:02
rtw89-src-7_p20230725-r0.apk
758.77KB
2024-10-25 22:03:02
ruby-ansi-1.5.0-r0.apk
25.88KB
2025-07-06 22:16:11
ruby-appraisal-2.5.0-r0.apk
10.87KB
2025-05-26 02:52:10
ruby-appraisal-doc-2.5.0-r0.apk
2.31KB
2025-05-26 02:52:10
ruby-benchmark-ips-2.14.0-r0.apk
14.33KB
2025-05-26 02:54:31
ruby-benchmark-ips-doc-2.14.0-r0.apk
2.27KB
2025-05-26 02:54:31
ruby-build-20250925-r0.apk
93.04KB
2025-09-28 18:45:38
ruby-build-doc-20250925-r0.apk
4.79KB
2025-09-28 18:45:38
ruby-build-runtime-20250925-r0.apk
1.31KB
2025-09-28 18:45:38
ruby-byebug-12.0.0-r0.apk
126.84KB
2025-10-21 07:12:52
ruby-coderay-1.1.3-r0.apk
89.07KB
2025-05-26 02:56:43
ruby-coderay-doc-1.1.3-r0.apk
2.29KB
2025-05-26 02:56:43
ruby-crack-1.0.1-r0.apk
2.33KB
2025-10-21 07:14:43
ruby-crack-doc-1.0.1-r0.apk
2.25KB
2025-10-21 07:14:43
ruby-dry-inflector-1.3.0-r0.apk
8.25KB
2026-01-10 05:45:42
ruby-dry-inflector-doc-1.3.0-r0.apk
2.27KB
2026-01-10 05:45:42
ruby-event_emitter-0.2.6-r0.apk
3.08KB
2025-05-26 03:00:04
ruby-event_emitter-doc-0.2.6-r0.apk
2.27KB
2025-05-26 03:00:04
ruby-facter-4.10.0-r0.apk
218.09KB
2025-07-28 05:04:38
ruby-fast_gettext-3.1.0-r0.apk
22.14KB
2025-05-26 02:58:21
ruby-hashdiff-1.2.1-r0.apk
8.96KB
2025-10-21 07:18:03
ruby-hashdiff-doc-1.2.1-r0.apk
2.25KB
2025-10-21 07:18:03
ruby-hoe-4.5.1-r0.apk
28.77KB
2026-01-04 02:57:34
ruby-libguestfs-1.56.1-r0.apk
106.09KB
2025-07-23 01:16:04
ruby-mail-2.9.0-r0.apk
394.39KB
2025-10-24 18:26:39
ruby-minitest-autotest-1.2.1-r0.apk
14.30KB
2026-01-10 15:08:48
ruby-minitest-focus-1.4.1-r0.apk
6.38KB
2026-01-04 02:58:25
ruby-minitest-power_assert-0.3.1-r0.apk
2.22KB
2025-07-06 22:16:11
ruby-minitest-proveit-1.0.0-r0.apk
4.76KB
2025-07-06 22:16:11
ruby-minitest-reporters-1.7.1-r0.apk
20.09KB
2025-07-06 22:16:11
ruby-minitest-server-1.0.9-r0.apk
5.39KB
2025-11-21 17:40:19
ruby-path_expander-2.0.1-r0.apk
6.70KB
2026-01-10 07:04:11
ruby-ruby-progressbar-1.13.0-r0.apk
24.92KB
2025-07-06 22:16:11
ruff-lsp-0.0.62-r0.apk
21.27KB
2025-03-11 13:19:03
ruff-lsp-pyc-0.0.62-r0.apk
34.99KB
2025-03-11 13:19:03
runst-0.2.0-r0.apk
1.89MB
2025-11-15 01:27:17
runst-doc-0.2.0-r0.apk
7.82KB
2025-11-15 01:27:17
runu-0.12.3-r1.apk
20.46MB
2025-12-05 00:48:54
ruri-3.9.3-r0.apk
133.92KB
2025-10-27 10:46:22
ruri-doc-3.9.3-r0.apk
2.24KB
2025-10-27 10:46:22
rust-script-0.36.0-r0.apk
894.76KB
2025-09-06 19:20:44
rustdesk-server-1.1.10.3-r0.apk
2.37MB
2024-10-25 22:03:02
rustdesk-server-openrc-1.1.10.3-r0.apk
2.25KB
2024-10-25 22:03:02
rustic-0.9.3-r0.apk
6.09MB
2024-10-25 22:03:02
rustic-bash-completion-0.9.3-r0.apk
8.61KB
2024-10-25 22:03:02
rustic-fish-completion-0.9.3-r0.apk
16.67KB
2024-10-25 22:03:02
rustic-zsh-completion-0.9.3-r0.apk
13.03KB
2024-10-25 22:03:02
rustical-0.11.11-r0.apk
4.55MB
2026-01-11 15:58:26
rustls-ffi-0.15.0-r0.apk
1.35MB
2025-12-27 00:37:29
rustls-ffi-dev-0.15.0-r0.apk
2.71MB
2025-12-27 00:37:29
rustscan-2.4.1-r0.apk
1.70MB
2025-12-22 04:54:55
ruuvi-prometheus-0.1.9-r7.apk
3.49MB
2025-12-05 00:48:54
ruuvi-prometheus-openrc-0.1.9-r7.apk
1.67KB
2025-12-05 00:48:54
rvlprog-0.91-r2.apk
27.82KB
2024-10-25 22:03:02
ry-0.5.2-r1.apk
4.62KB
2024-10-25 22:03:02
ry-bash-completion-0.5.2-r1.apk
1.95KB
2024-10-25 22:03:02
ry-zsh-completion-0.5.2-r1.apk
2.26KB
2024-10-25 22:03:02
rygel-45.1-r0.apk
766.69KB
2026-01-05 17:35:48
rygel-dev-45.1-r0.apk
42.66KB
2026-01-05 17:35:48
rygel-doc-45.1-r0.apk
9.67KB
2026-01-05 17:35:48
rygel-lang-45.1-r0.apk
590.84KB
2026-01-05 17:35:48
rygel-systemd-45.1-r0.apk
1.74KB
2026-01-05 17:35:48
s-dkim-sign-0.6.2-r0.apk
54.08KB
2024-10-25 22:03:02
s-dkim-sign-doc-0.6.2-r0.apk
8.52KB
2024-10-25 22:03:02
s-postgray-0.8.3-r0.apk
45.34KB
2024-10-25 22:03:02
s-postgray-doc-0.8.3-r0.apk
9.58KB
2024-10-25 22:03:02
s5cmd-2.3.0-r8.apk
5.30MB
2025-12-05 00:48:54
saait-0.8-r0.apk
6.81KB
2024-10-25 22:03:03
saait-doc-0.8-r0.apk
12.70KB
2024-10-25 22:03:03
sacc-1.07-r1.apk
15.78KB
2025-09-13 01:22:43
sacc-doc-1.07-r1.apk
2.88KB
2025-09-13 01:22:43
sandbar-0.2_git20250909-r0.apk
14.47KB
2025-12-21 16:37:58
satellite-1.0.0-r33.apk
2.34MB
2025-12-05 00:48:54
satellite-doc-1.0.0-r33.apk
3.02KB
2025-12-05 00:48:54
satellite-openrc-1.0.0-r33.apk
1.90KB
2025-12-05 00:48:54
sauerbraten-2020.12.29-r4.apk
933.90MB
2025-02-22 16:39:31
sbase-0_git20210730-r3.apk
117.66KB
2024-10-25 22:03:19
sbase-doc-0_git20210730-r3.apk
58.24KB
2024-10-25 22:03:19
sblg-0.5.11-r0.apk
41.50KB
2024-10-25 22:03:19
sblg-doc-0.5.11-r0.apk
1.35MB
2024-10-25 22:03:19
sblim-sfcc-2.2.8-r3.apk
51.36KB
2024-10-25 22:03:19
sblim-sfcc-dev-2.2.8-r3.apk
22.26KB
2024-10-25 22:03:19
sblim-sfcc-doc-2.2.8-r3.apk
34.58KB
2024-10-25 22:03:19
sblim-wbemcli-1.6.3-r1.apk
99.22KB
2024-10-25 22:03:19
sblim-wbemcli-doc-1.6.3-r1.apk
4.53KB
2024-10-25 22:03:19
sc-controller-0.5.5-r0.apk
1.26MB
2025-12-14 10:56:08
sc-controller-pyc-0.5.5-r0.apk
814.27KB
2025-12-14 10:56:08
sc-controller-udev-0.5.5-r0.apk
2.06KB
2025-12-14 10:56:08
sc3-plugins-3.13.0-r2.apk
9.89MB
2025-02-09 01:48:15
scalingo-1.30.0-r15.apk
5.61MB
2025-12-05 00:48:55
scap-workbench-1.2.1-r3.apk
229.91KB
2024-10-25 22:03:19
scap-workbench-doc-1.2.1-r3.apk
1.59MB
2024-10-25 22:03:19
scenefx-0.4.1-r0.apk
55.82KB
2025-12-21 01:13:56
scenefx-dev-0.4.1-r0.apk
10.86KB
2025-12-21 01:13:56
scenefx-doc-0.4.1-r0.apk
2.28KB
2025-12-21 01:13:56
schismtracker-20251014-r0.apk
450.29KB
2025-10-25 02:51:02
schismtracker-doc-20251014-r0.apk
6.33KB
2025-10-25 02:51:02
scooper-1.3-r1.apk
496.46KB
2024-10-25 22:03:19
scooper-doc-1.3-r1.apk
2.59KB
2024-10-25 22:03:19
scratch-1.4.0.7-r2.apk
39.29MB
2025-10-09 15:30:49
scratch-doc-1.4.0.7-r2.apk
2.61KB
2025-10-09 15:30:49
screenkey-1.5-r7.apk
76.36KB
2025-07-23 01:16:04
screenkey-doc-1.5-r7.apk
10.97KB
2025-07-23 01:16:04
screenkey-pyc-1.5-r7.apk
73.14KB
2025-07-23 01:16:04
sct-2018.12.18-r1.apk
3.80KB
2024-10-25 22:03:20
sdl3_image-3.2.6-r0.apk
74.98KB
2026-01-07 12:54:54
sdl3_image-dev-3.2.6-r0.apk
12.17KB
2026-01-07 12:54:54
sdl3_image-doc-3.2.6-r0.apk
2.12KB
2026-01-07 12:54:54
sdparm-1.12-r1.apk
148.35KB
2024-10-25 22:03:22
sdparm-doc-1.12-r1.apk
19.33KB
2024-10-25 22:03:22
sdrangel-7.22.9-r0.apk
70.38MB
2025-10-24 23:31:27
seaweedfs-3.93-r4.apk
27.28MB
2025-12-05 00:48:55
seaweedfs-doc-3.93-r4.apk
13.76KB
2025-12-05 00:48:55
seaweedfs-openrc-3.93-r4.apk
1.91KB
2025-12-05 00:48:55
secsipidx-1.3.2-r17.apk
2.88MB
2025-12-05 00:48:55
secsipidx-dev-1.3.2-r17.apk
5.13MB
2025-12-05 00:48:55
secsipidx-libs-1.3.2-r17.apk
2.57MB
2025-12-05 00:48:55
sedutil-1.15.1-r1.apk
187.71KB
2024-10-25 22:03:23
sedutil-doc-1.15.1-r1.apk
3.06KB
2024-10-25 22:03:23
semaphoreui-2.16.37-r1.apk
16.31MB
2025-12-05 00:48:55
semaphoreui-bash-completion-2.16.37-r1.apk
6.10KB
2025-12-05 00:48:55
semaphoreui-doc-2.16.37-r1.apk
2.32KB
2025-12-05 00:48:55
semaphoreui-fish-completion-2.16.37-r1.apk
4.32KB
2025-12-05 00:48:55
semaphoreui-openrc-2.16.37-r1.apk
2.09KB
2025-12-05 00:48:55
semaphoreui-zsh-completion-2.16.37-r1.apk
4.04KB
2025-12-05 00:48:55
sentinel-minipot-2.3.0-r1.apk
44.60KB
2024-10-25 22:03:24
sentinel-minipot-openrc-2.3.0-r1.apk
2.60KB
2024-10-25 22:03:24
sentinel-proxy-2.1.0-r1.apk
42.67KB
2025-06-13 17:12:50
sentinel-proxy-dev-2.1.0-r1.apk
4.42KB
2025-06-13 17:12:50
sentinel-proxy-openrc-2.1.0-r1.apk
2.24KB
2025-06-13 17:12:50
sentrypeer-4.0.4-r0.apk
1.86MB
2025-03-21 18:21:20
sentrypeer-doc-4.0.4-r0.apk
3.29KB
2025-03-21 18:21:20
serialdv-1.1.5-r0.apk
6.37KB
2025-10-24 23:31:27
serialdv-dev-1.1.5-r0.apk
5.35KB
2025-10-24 23:31:27
serialdv-libs-1.1.5-r0.apk
64.90KB
2025-10-24 23:31:27
serie-0.5.5-r0.apk
848.79KB
2025-12-10 03:26:17
serie-doc-0.5.5-r0.apk
8.20KB
2025-12-10 03:26:17
setroot-2.0.2-r1.apk
12.01KB
2024-10-25 22:03:24
setroot-doc-2.0.2-r1.apk
4.44KB
2024-10-25 22:03:24
sfizz-1.2.3-r1.apk
1.74MB
2025-10-02 18:30:31
sfizz-dev-1.2.3-r1.apk
14.74KB
2025-10-02 18:30:31
sfizz-doc-1.2.3-r1.apk
3.01KB
2025-10-02 18:30:31
sflowtool-6.02-r0.apk
39.97KB
2024-10-25 22:03:24
sflowtool-doc-6.02-r0.apk
9.36KB
2024-10-25 22:03:24
sfwbar-1.0_beta161-r0.apk
269.32KB
2025-07-31 16:29:04
sfwbar-doc-1.0_beta161-r0.apk
26.51KB
2025-07-31 16:29:04
sgt-puzzles-0_git20230310-r2.apk
2.59MB
2024-10-25 22:03:24
shadowsocks-libev-3.3.5-r4.apk
218.82KB
2024-10-25 22:03:24
shadowsocks-libev-dev-3.3.5-r4.apk
3.47KB
2024-10-25 22:03:24
shadowsocks-libev-doc-3.3.5-r4.apk
27.99KB
2024-10-25 22:03:24
shc-4.0.3-r2.apk
16.55KB
2024-10-25 22:03:24
shellinabox-2.21-r3.apk
117.77KB
2024-10-25 22:03:24
shellinabox-doc-2.21-r3.apk
19.36KB
2024-10-25 22:03:24
shellinabox-openrc-2.21-r3.apk
3.52KB
2024-10-25 22:03:24
shine-3.1.1-r0.apk
54.05KB
2024-10-25 22:03:24
shipments-0.3.0-r0.apk
23.47KB
2024-10-25 22:03:24
shntool-3.0.10-r5.apk
56.96KB
2025-02-24 23:28:58
shntool-doc-3.0.10-r5.apk
10.27KB
2025-02-24 23:28:58
shutdown-clear-machine-id-1.0.0-r0.apk
1.81KB
2024-10-25 22:03:24
sigil-2.7.0-r0.apk
4.91MB
2025-12-18 05:08:16
sigil-lang-2.7.0-r0.apk
2.57MB
2025-12-18 05:08:16
sigma-0.23.1-r1.apk
236.78KB
2024-10-25 22:03:24
sigma-pyc-0.23.1-r1.apk
340.02KB
2024-10-25 22:03:24
signal-desktop-7.84.0-r0.apk
46.74MB
2026-01-09 18:03:48
sigrok-cli-0.7.2-r0.apk
38.64KB
2024-10-25 22:03:25
sigrok-cli-doc-0.7.2-r0.apk
7.99KB
2024-10-25 22:03:25
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
13.07KB
2024-10-25 22:03:25
silc-client-1.1.11-r18.apk
859.57KB
2025-06-30 11:08:57
silc-client-doc-1.1.11-r18.apk
82.12KB
2025-06-30 11:08:57
simavr-1.7-r1.apk
93.77KB
2024-10-25 22:03:25
simavr-dev-1.7-r1.apk
858.63KB
2024-10-25 22:03:25
simgear-2024.1.1-r0.apk
2.15MB
2025-03-05 02:17:07
simgear-dev-2024.1.1-r0.apk
404.08KB
2025-03-05 02:17:07
simh-3.11.1-r1.apk
3.03MB
2024-10-25 22:03:25
simp1e-cursors-0_git20250312-r0.apk
446.68KB
2025-03-13 14:27:57
simp1e-cursors-adw-0_git20250312-r0.apk
477.80KB
2025-03-13 14:27:57
simp1e-cursors-adw-dark-0_git20250312-r0.apk
481.99KB
2025-03-13 14:27:57
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
484.97KB
2025-03-13 14:27:57
simp1e-cursors-adw-left-0_git20250312-r0.apk
483.01KB
2025-03-13 14:27:57
simp1e-cursors-breeze-0_git20250312-r0.apk
504.24KB
2025-03-13 14:27:57
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
519.97KB
2025-03-13 14:27:57
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
526.31KB
2025-03-13 14:27:57
simp1e-cursors-breeze-left-0_git20250312-r0.apk
509.31KB
2025-03-13 14:27:57
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
535.02KB
2025-03-13 14:27:57
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
547.46KB
2025-03-13 14:27:57
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
527.56KB
2025-03-13 14:27:57
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
537.34KB
2025-03-13 14:27:57
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
532.65KB
2025-03-13 14:27:57
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
544.44KB
2025-03-13 14:27:57
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
529.89KB
2025-03-13 14:27:57
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
539.93KB
2025-03-13 14:27:57
simp1e-cursors-dark-0_git20250312-r0.apk
483.92KB
2025-03-13 14:27:57
simp1e-cursors-dark-left-0_git20250312-r0.apk
489.56KB
2025-03-13 14:27:57
simp1e-cursors-doc-0_git20250312-r0.apk
13.83KB
2025-03-13 14:27:57
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
524.99KB
2025-03-13 14:27:57
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
536.95KB
2025-03-13 14:27:57
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
517.11KB
2025-03-13 14:27:57
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
526.60KB
2025-03-13 14:27:57
simp1e-cursors-left-0_git20250312-r0.apk
448.50KB
2025-03-13 14:27:57
simp1e-cursors-mix-dark-0_git20250312-r0.apk
486.73KB
2025-03-13 14:27:57
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
492.18KB
2025-03-13 14:27:57
simp1e-cursors-mix-light-0_git20250312-r0.apk
449.54KB
2025-03-13 14:27:57
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
451.43KB
2025-03-13 14:27:57
simp1e-cursors-nord-dark-0_git20250312-r0.apk
535.62KB
2025-03-13 14:27:57
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
548.56KB
2025-03-13 14:27:57
simp1e-cursors-nord-light-0_git20250312-r0.apk
517.88KB
2025-03-13 14:27:57
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
524.68KB
2025-03-13 14:27:57
simp1e-cursors-rose-pine-0_git20250312-r0.apk
533.49KB
2025-03-13 14:27:57
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
538.40KB
2025-03-13 14:27:57
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
550.59KB
2025-03-13 14:27:57
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
545.13KB
2025-03-13 14:27:57
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
537.89KB
2025-03-13 14:27:57
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
550.18KB
2025-03-13 14:27:57
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
523.69KB
2025-03-13 14:27:57
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
535.01KB
2025-03-13 14:27:57
simp1e-cursors-solarized-light-0_git20250312-r0.apk
531.07KB
2025-03-13 14:27:57
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
542.04KB
2025-03-13 14:27:57
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
529.92KB
2025-03-13 14:27:57
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
540.95KB
2025-03-13 14:27:57
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
519.84KB
2025-03-13 14:27:57
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
526.90KB
2025-03-13 14:27:57
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
533.93KB
2025-03-13 14:27:57
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
545.64KB
2025-03-13 14:27:57
simp1e-cursors-zenburn-0_git20250312-r0.apk
529.26KB
2025-03-13 14:27:57
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
540.15KB
2025-03-13 14:27:57
simpleble-0.10.3-r0.apk
1.23KB
2025-07-11 06:12:13
simpleble-dev-0.10.3-r0.apk
27.93KB
2025-07-11 06:12:13
simpleiot-0.18.3-r5.apk
11.19MB
2025-12-05 00:48:55
singular-4.4.1_p2-r1.apk
10.48MB
2025-11-26 10:24:44
singular-dev-4.4.1_p2-r1.apk
359.22KB
2025-11-26 10:24:44
singular-doc-4.4.1_p2-r1.apk
1.35MB
2025-11-26 10:24:44
singular-emacs-4.4.1_p2-r1.apk
101.58KB
2025-11-26 10:24:44
singular-static-4.4.1_p2-r1.apk
5.76MB
2025-11-26 10:24:45
sipexer-1.2.0-r8.apk
2.95MB
2025-12-05 00:48:55
sipgrep-2.2.0-r1.apk
25.45KB
2024-10-25 22:03:26
siril-1.2.6-r5.apk
2.86MB
2025-09-28 00:15:46
siril-doc-1.2.6-r5.apk
17.77KB
2025-09-28 00:15:46
siril-lang-1.2.6-r5.apk
1.55MB
2025-09-28 00:15:46
sish-2.16.1-r10.apk
8.34MB
2025-12-05 00:48:55
sish-openrc-2.16.1-r10.apk
1.91KB
2025-12-05 00:48:55
sloccount-2.26-r3.apk
62.31KB
2024-10-25 22:03:26
sloccount-doc-2.26-r3.apk
59.37KB
2024-10-25 22:03:26
slurm-0.4.4-r0.apk
13.98KB
2024-10-25 22:03:26
slurm-doc-0.4.4-r0.apk
2.26KB
2024-10-25 22:03:26
sly-1.0.0-r4.apk
4.00MB
2025-11-16 19:55:57
smassh-3.1.6-r0.apk
72.40KB
2024-11-21 04:57:47
smassh-pyc-3.1.6-r0.apk
70.23KB
2024-11-21 04:57:47
smb4k-4.0.5-r0.apk
1.75MB
2026-01-11 04:37:58
smb4k-doc-4.0.5-r0.apk
4.63MB
2026-01-11 04:37:58
smile-2.11.0-r0.apk
873.33KB
2025-12-21 13:40:21
smile-lang-2.11.0-r0.apk
28.22KB
2025-12-21 13:40:21
smplxmpp-0.9.3-r5.apk
145.86KB
2025-10-12 15:09:55
smplxmpp-doc-0.9.3-r5.apk
24.83KB
2025-10-12 15:09:55
snapper-0.13.0-r0.apk
950.98KB
2025-09-16 21:45:23
snapper-bash-completion-0.13.0-r0.apk
3.37KB
2025-09-16 21:45:23
snapper-dev-0.13.0-r0.apk
10.73KB
2025-09-16 21:45:23
snapper-doc-0.13.0-r0.apk
25.26KB
2025-09-16 21:45:23
snapper-lang-0.13.0-r0.apk
218.95KB
2025-09-16 21:45:23
snapper-zsh-completion-0.13.0-r0.apk
3.56KB
2025-09-16 21:45:23
snapraid-13.0-r0.apk
284.31KB
2025-11-01 02:21:02
snapraid-doc-13.0-r0.apk
18.99KB
2025-11-01 02:21:02
snapweb-0.9.2-r0.apk
476.13KB
2025-10-07 00:15:40
snippets-ls-0.0.4_git20240617-r10.apk
1.40MB
2025-12-05 00:48:55
snore-0.3.1-r0.apk
4.43KB
2024-10-25 22:03:26
snore-doc-0.3.1-r0.apk
3.07KB
2024-10-25 22:03:26
so-0.4.10-r0.apk
2.00MB
2024-10-25 22:03:26
soapy-bladerf-0.4.2-r0.apk
47.12KB
2024-12-31 12:53:13
solanum-6.0.0-r0.apk
248.94KB
2025-04-10 16:52:26
solanum-lang-6.0.0-r0.apk
47.32KB
2025-04-10 16:52:26
solarus-engine-1.7.0-r2.apk
2.07MB
2025-07-20 22:28:07
solarus-engine-doc-1.7.0-r2.apk
3.35KB
2025-07-20 22:28:07
solarus-quest-editor-1.7.0-r1.apk
55.44MB
2025-02-22 16:39:34
somebar-1.0.3-r0.apk
43.37KB
2024-10-25 22:03:27
somebar-doc-1.0.3-r0.apk
2.42KB
2024-10-25 22:03:27
sonar-scanner-7.1.0.4889-r0.apk
10.69MB
2025-05-05 02:13:33
sonarr-4.0.16.2944-r0.apk
28.23MB
2025-11-17 16:44:41
sonarr-openrc-4.0.16.2944-r0.apk
2.04KB
2025-11-17 16:44:41
sonicradio-0.8.12-r0.apk
4.57MB
2026-01-07 02:06:09
sopwith-2.5.0-r0.apk
47.10KB
2024-10-25 22:03:28
sopwith-doc-2.5.0-r0.apk
14.87KB
2024-10-25 22:03:28
sos-0.8-r36.apk
2.87MB
2025-12-05 00:48:56
soundconverter-4.1.1-r0.apk
164.52KB
2025-07-29 16:12:16
soundconverter-doc-4.1.1-r0.apk
4.72KB
2025-07-29 16:12:16
soundconverter-lang-4.1.1-r0.apk
106.90KB
2025-07-29 16:12:16
soundconverter-pyc-4.1.1-r0.apk
76.64KB
2025-07-29 16:12:16
sourcegit-8.33-r0.apk
25.60MB
2024-10-25 22:03:28
spacectl-1.12.0-r6.apk
5.97MB
2025-12-05 00:48:56
spacectl-bash-completion-1.12.0-r6.apk
2.05KB
2025-12-05 00:48:56
spacectl-doc-1.12.0-r6.apk
2.29KB
2025-12-05 00:48:56
spacectl-fish-completion-1.12.0-r6.apk
7.06KB
2025-12-05 00:48:56
spacectl-zsh-completion-1.12.0-r6.apk
1.81KB
2025-12-05 00:48:56
spacenavd-1.3.1-r0.apk
30.87KB
2026-01-09 18:03:48
spark-2.8.3-r1.apk
28.93MB
2024-10-25 22:03:29
speedcrunch-0.12-r3.apk
1.14MB
2024-10-25 22:03:29
speedtest-5.2.5-r1.apk
253.48KB
2024-10-25 22:03:29
speedtest-doc-5.2.5-r1.apk
18.41KB
2024-10-25 22:03:29
speedtest-examples-5.2.5-r1.apk
12.97KB
2024-10-25 22:03:29
speedtest-go-1.1.5-r20.apk
5.72MB
2025-12-05 00:48:56
speedtest-go-doc-1.1.5-r20.apk
4.51KB
2025-12-05 00:48:56
speedtest-go-openrc-1.1.5-r20.apk
1.73KB
2025-12-05 00:48:56
speedtest_exporter-0.3.2-r20.apk
4.10MB
2025-12-05 00:48:56
speedtest_exporter-openrc-0.3.2-r20.apk
1.85KB
2025-12-05 00:48:56
spice-html5-0.3.0-r1.apk
438.46KB
2024-10-25 22:03:29
spike-1.1.0-r0.apk
1.48MB
2024-10-25 22:03:29
spiped-1.6.4-r0.apk
82.12KB
2025-12-05 00:48:56
splitter-0.4.1-r1.apk
455.73KB
2025-07-15 07:09:12
splitter-doc-0.4.1-r1.apk
3.83KB
2025-07-15 07:09:12
spnavcfg-1.3-r0.apk
38.36KB
2026-01-10 01:44:44
sponskrub-3.7.2-r9.apk
184.12KB
2025-06-07 12:13:27
spotify-player-0.20.4-r0.apk
4.45MB
2025-03-02 17:55:56
spotify-tui-0.25.0-r2.apk
1.85MB
2024-10-25 22:03:29
spread-sheet-widget-0.10-r0.apk
44.19KB
2024-10-25 22:03:29
spread-sheet-widget-dbg-0.10-r0.apk
192.74KB
2024-10-25 22:03:29
spread-sheet-widget-dev-0.10-r0.apk
363.42KB
2024-10-25 22:03:29
spread-sheet-widget-doc-0.10-r0.apk
4.64KB
2024-10-25 22:03:29
spreadtrum_flash-1.20240815-r0.apk
35.54KB
2025-05-10 06:12:20
spvm-errno-0.093-r1.apk
17.13KB
2025-06-30 11:08:57
spvm-errno-doc-0.093-r1.apk
6.01KB
2025-06-30 11:08:57
spvm-math-1.006-r1.apk
21.78KB
2025-06-30 11:08:57
spvm-math-doc-1.006-r1.apk
6.94KB
2025-06-30 11:08:57
spvm-mime-base64-1.003-r1.apk
15.39KB
2025-06-30 11:08:57
spvm-mime-base64-doc-1.003-r1.apk
5.48KB
2025-06-30 11:08:57
spvm-thread-0.003-r1.apk
11.33KB
2025-06-30 11:08:57
spvm-thread-doc-0.003-r1.apk
5.96KB
2025-06-30 11:08:57
sq-0.48.10-r0.apk
10.74MB
2026-01-01 07:16:07
sq-bash-completion-0.48.10-r0.apk
6.08KB
2026-01-01 07:16:07
sq-doc-0.48.10-r0.apk
10.73KB
2026-01-01 07:16:07
sq-fish-completion-0.48.10-r0.apk
4.29KB
2026-01-01 07:16:07
sq-zsh-completion-0.48.10-r0.apk
4.02KB
2026-01-01 07:16:07
sqlar-0_git20180107-r1.apk
12.50KB
2024-10-25 22:03:30
sqlar-doc-0_git20180107-r1.apk
3.31KB
2024-10-25 22:03:30
sqliteodbc-0.99991-r0.apk
87.03KB
2024-10-25 22:03:30
sqlmap-1.10-r0.apk
6.76MB
2026-01-12 16:00:15
sqlmap-pyc-1.10-r0.apk
1.19MB
2026-01-12 16:00:15
sqm-scripts-1.6.0-r0.apk
20.47KB
2024-10-25 22:03:30
sqruff-0.25.26-r0.apk
2.23MB
2025-04-14 00:40:07
sqruff-doc-0.25.26-r0.apk
8.64KB
2025-04-14 00:40:07
squeak-vm-4.10.2.2614-r2.apk
580.91KB
2025-10-09 15:30:49
squeak-vm-doc-4.10.2.2614-r2.apk
12.34KB
2025-10-09 15:30:49
srain-1.8.1-r0.apk
160.68KB
2025-06-27 13:32:00
srain-lang-1.8.1-r0.apk
35.11KB
2025-06-27 13:32:00
srb2-2.2.15-r2.apk
1.82MB
2025-12-20 17:23:47
srb2-data-2.2.15-r2.apk
159.59MB
2025-12-20 17:23:50
sregex-0.0.1-r1.apk
25.40KB
2024-10-25 22:03:32
sregex-dev-0.0.1-r1.apk
29.63KB
2024-10-25 22:03:32
ssdfs-tools-4.09-r0.apk
94.19KB
2024-10-25 22:03:32
ssdfs-tools-dev-4.09-r0.apk
18.46KB
2024-10-25 22:03:32
ssh-get-id-0.2.0-r0.apk
2.45MB
2025-12-15 05:11:22
ssh-get-id-doc-0.2.0-r0.apk
2.27KB
2025-12-15 05:11:22
ssh-honeypot-0.1.1-r1.apk
8.88KB
2024-10-25 22:03:32
ssh-honeypot-openrc-0.1.1-r1.apk
2.06KB
2024-10-25 22:03:32
ssh-studio-1.3.1-r0.apk
202.38KB
2025-10-12 23:35:54
ssh-studio-lang-1.3.1-r0.apk
1.87KB
2025-10-12 23:35:54
ssh-studio-pyc-1.3.1-r0.apk
106.55KB
2025-10-12 23:35:54
ssh-tools-1.8-r0.apk
25.60KB
2024-10-25 22:03:32
sshm-1.10.0-r0.apk
4.10MB
2026-01-08 15:55:01
sshm-doc-1.10.0-r0.apk
2.24KB
2026-01-08 15:55:01
sshs-4.7.2-r0.apk
719.00KB
2025-04-24 01:26:32
sshsrv-1.0-r17.apk
1.04MB
2025-12-05 00:48:56
sshuttle-1.1.2-r0.apk
62.25KB
2024-10-25 22:03:32
sshuttle-doc-1.1.2-r0.apk
8.48KB
2024-10-25 22:03:32
sshuttle-pyc-1.1.2-r0.apk
100.70KB
2024-10-25 22:03:32
sssd-2.11.1-r2.apk
2.07MB
2025-11-21 00:18:03
sssd-dev-2.11.1-r2.apk
14.81KB
2025-11-21 00:18:03
sssd-openrc-2.11.1-r2.apk
1.68KB
2025-11-21 00:18:03
ssss-0.5.7-r0.apk
12.40KB
2024-10-25 22:03:32
ssss-doc-0.5.7-r0.apk
3.34KB
2024-10-25 22:03:32
sstp-client-1.0.20-r3.apk
38.11KB
2025-10-24 17:48:24
sstp-client-dev-1.0.20-r3.apk
5.23KB
2025-10-24 17:48:24
sstp-client-doc-1.0.20-r3.apk
4.87KB
2025-10-24 17:48:24
stacker-1.1.3-r1.apk
29.87MB
2025-12-05 00:48:56
stacker-doc-1.1.3-r1.apk
15.70KB
2025-12-05 00:48:56
stalwart-cli-0.15.3-r2.apk
2.20MB
2026-01-09 18:03:48
stalwart-mail-0.15.3-r2.apk
18.91MB
2026-01-09 18:03:48
stalwart-mail-openrc-0.15.3-r2.apk
2.07KB
2026-01-09 18:03:48
stam-0.12.2-r0.apk
1.62MB
2025-09-22 15:09:32
starfighter-2.4-r0.apk
47.87MB
2024-10-25 22:03:34
starfighter-doc-2.4-r0.apk
21.60KB
2024-10-25 22:03:34
startup-2.0.3-r5.apk
441.51KB
2024-10-25 22:03:34
startup-bridge-dconf-2.0.3-r5.apk
32.10KB
2024-10-25 22:03:34
startup-bridge-udev-2.0.3-r5.apk
31.88KB
2024-10-25 22:03:34
startup-dev-2.0.3-r5.apk
5.85KB
2024-10-25 22:03:34
startup-doc-2.0.3-r5.apk
47.59KB
2024-10-25 22:03:34
startup-fish-completion-2.0.3-r5.apk
5.38KB
2024-10-25 22:03:34
startup-lang-2.0.3-r5.apk
16.55KB
2024-10-25 22:03:34
startup-tools-2.0.3-r5.apk
13.31KB
2024-10-25 22:03:34
stayrtr-0.6.3-r1.apk
11.31MB
2025-12-05 00:48:56
stayrtr-openrc-0.6.3-r1.apk
1.98KB
2025-12-05 00:48:56
steamguard-cli-0.17.1-r0.apk
3.20MB
2025-07-11 01:02:34
steamguard-cli-bash-completion-0.17.1-r0.apk
2.89KB
2025-07-11 01:02:34
steamguard-cli-zsh-completion-0.17.1-r0.apk
4.22KB
2025-07-11 01:02:34
steghide-0.5.1.1-r0.apk
146.29KB
2024-10-25 22:03:34
steghide-doc-0.5.1.1-r0.apk
13.72KB
2024-10-25 22:03:34
stern-1.33.0-r1.apk
19.08MB
2025-12-05 00:48:57
stern-bash-completion-1.33.0-r1.apk
5.84KB
2025-12-05 00:48:57
stern-fish-completion-1.33.0-r1.apk
4.32KB
2025-12-05 00:48:57
stern-zsh-completion-1.33.0-r1.apk
4.04KB
2025-12-05 00:48:57
stgit-2.4.7-r1.apk
1.86MB
2024-10-25 22:03:34
stgit-bash-completion-2.4.7-r1.apk
17.02KB
2024-10-25 22:03:34
stgit-doc-2.4.7-r1.apk
126.23KB
2024-10-25 22:03:34
stgit-emacs-2.4.7-r1.apk
27.56KB
2024-10-25 22:03:34
stgit-fish-completion-2.4.7-r1.apk
11.71KB
2024-10-25 22:03:34
stgit-vim-2.4.7-r1.apk
3.46KB
2024-10-25 22:03:34
stgit-zsh-completion-2.4.7-r1.apk
23.58KB
2024-10-25 22:03:34
sthttpd-2.27.1-r2.apk
57.33KB
2024-10-25 22:03:34
sthttpd-doc-2.27.1-r2.apk
18.23KB
2024-10-25 22:03:34
sthttpd-openrc-2.27.1-r2.apk
1.95KB
2024-10-25 22:03:34
stockfish-17-r0.apk
61.77MB
2025-03-04 00:40:41
stone-soup-0.33.1-r0.apk
29.88MB
2025-12-26 16:50:11
sturmreader-3.7.2-r2.apk
0.99MB
2025-09-06 19:20:47
sturmreader-lang-3.7.2-r2.apk
38.97KB
2025-09-06 19:20:47
stw-0.3-r0.apk
8.11KB
2024-10-25 22:03:35
stw-doc-0.3-r0.apk
2.53KB
2024-10-25 22:03:35
sublime-music-0.12.0-r1.apk
189.51KB
2024-10-25 22:03:36
sublime-music-pyc-0.12.0-r1.apk
302.18KB
2024-10-25 22:03:36
subliminal-2.4.0-r0.apk
89.78KB
2025-11-24 03:06:12
subliminal-pyc-2.4.0-r0.apk
178.98KB
2025-11-24 03:06:12
subtitleeditor-0.55.0-r0.apk
1.56MB
2025-11-21 00:18:03
subtitleeditor-dev-0.55.0-r0.apk
1.56KB
2025-11-21 00:18:03
subtitleeditor-doc-0.55.0-r0.apk
2.88KB
2025-11-21 00:18:03
sudo-ldap-1.9.17_p1-r0.apk
806.70KB
2025-07-01 21:58:03
supercollider-3.14.1-r0.apk
8.28MB
2026-01-09 18:03:48
supercollider-dev-3.14.1-r0.apk
39.69KB
2026-01-09 18:03:48
supermin-5.2.2-r2.apk
507.39KB
2024-10-25 22:03:36
supermin-doc-5.2.2-r2.apk
9.36KB
2024-10-25 22:03:36
supersonik-0.1.0-r3.apk
1.05MB
2025-12-05 00:48:57
surf-2.1-r3.apk
20.95KB
2024-10-25 22:03:36
surf-doc-2.1-r3.apk
4.64KB
2024-10-25 22:03:36
surfraw-2.3.0-r0.apk
78.73KB
2024-10-25 22:03:36
surfraw-doc-2.3.0-r0.apk
17.70KB
2024-10-25 22:03:36
suru-icon-theme-2025.05.0-r0.apk
2.87MB
2025-05-10 06:12:20
svgbob-0.7.6-r0.apk
452.16KB
2025-02-02 02:32:27
svls-0.2.14-r0.apk
3.38MB
2025-12-13 04:58:16
svls-doc-0.2.14-r0.apk
2.23KB
2025-12-13 04:58:16
svt-hevc-1.5.1-r2.apk
31.27KB
2024-10-25 22:03:36
svt-hevc-dev-1.5.1-r2.apk
10.39KB
2024-10-25 22:03:36
svt-hevc-doc-1.5.1-r2.apk
4.98KB
2024-10-25 22:03:36
svt-hevc-libs-1.5.1-r2.apk
733.40KB
2024-10-25 22:03:36
svt-vp9-0.3.1-r0.apk
16.08KB
2025-12-21 00:51:37
svt-vp9-dev-0.3.1-r0.apk
7.28KB
2025-12-21 00:51:37
svt-vp9-doc-0.3.1-r0.apk
4.74KB
2025-12-21 00:51:37
svt-vp9-libs-0.3.1-r0.apk
590.49KB
2025-12-21 00:51:37
swaks-20240103.0-r0.apk
66.09KB
2024-10-25 22:03:36
swaks-doc-20240103.0-r0.apk
49.65KB
2024-10-25 22:03:36
swappy-1.7.1-r0.apk
27.73KB
2025-08-22 02:29:35
swappy-doc-1.7.1-r0.apk
3.81KB
2025-08-22 02:29:35
swappy-lang-1.7.1-r0.apk
3.79KB
2025-08-22 02:29:35
sway-audio-idle-inhibit-0.1.2-r0.apk
9.82KB
2024-10-25 22:03:36
swayhide-0.2.1-r2.apk
255.48KB
2024-10-25 22:03:36
swhkd-1.2.1-r0.apk
1.05MB
2024-10-25 22:03:36
swhkd-doc-1.2.1-r0.apk
6.17KB
2024-10-25 22:03:36
swi-prolog-9.2.9-r0.apk
4.73MB
2024-12-21 12:09:05
swi-prolog-doc-9.2.9-r0.apk
2.06MB
2024-12-21 12:09:05
swi-prolog-pyc-9.2.9-r0.apk
22.45KB
2024-12-21 12:09:05
swi-prolog-xpce-9.2.9-r0.apk
921.79KB
2024-12-21 12:09:05
swi-prolog-xpce-doc-9.2.9-r0.apk
1.04MB
2024-12-21 12:09:05
sxcs-1.1.0-r0.apk
8.09KB
2024-10-25 22:03:36
sxcs-doc-1.1.0-r0.apk
2.64KB
2024-10-25 22:03:36
syd-tui-0.2.2-r0.apk
393.42KB
2025-12-08 01:40:02
sydbox-3.45.2-r0.apk
4.62MB
2025-12-03 04:46:30
sydbox-doc-3.45.2-r0.apk
165.29KB
2025-12-03 04:46:30
sydbox-oci-3.45.2-r0.apk
2.97MB
2025-12-03 04:46:30
sydbox-syd-3.45.2-r0.apk
2.00MB
2025-12-03 04:46:30
sydbox-test-3.45.2-r0.apk
1.81MB
2025-12-03 04:46:30
sydbox-utils-3.45.2-r0.apk
6.31MB
2025-12-03 04:46:30
sydbox-vim-3.45.2-r0.apk
7.21KB
2025-12-03 04:46:30
sylpheed-imap-notify-1.1.0-r2.apk
7.95KB
2024-10-25 22:03:36
symbiyosys-0.36-r0.apk
37.58KB
2024-10-25 22:03:36
symengine-0.12.0-r0.apk
2.89MB
2024-10-25 22:03:36
symlinks-1.4.3-r0.apk
5.80KB
2025-04-22 00:27:10
symlinks-doc-1.4.3-r0.apk
3.85KB
2025-04-22 00:27:10
synapse-bt-1.0-r4.apk
1.07MB
2024-10-25 22:03:36
synapse-bt-cli-1.0-r4.apk
0.97MB
2024-10-25 22:03:36
synapse-bt-openrc-1.0-r4.apk
1.82KB
2024-10-25 22:03:36
syncthing-gtk-0.9.4.5-r2.apk
439.95KB
2024-10-25 22:03:36
syncthing-gtk-doc-0.9.4.5-r2.apk
2.20KB
2024-10-25 22:03:36
syncthing-gtk-pyc-0.9.4.5-r2.apk
220.60KB
2024-10-25 22:03:36
syncwhen-0.3-r0.apk
5.29KB
2025-11-01 19:26:13
syncwhen-systemd-0.3-r0.apk
1.77KB
2025-11-01 19:26:13
t2sz-1.1.2-r0.apk
8.85KB
2024-10-25 22:03:36
tabiew-0.11.0-r0.apk
12.82MB
2025-07-30 10:46:38
tachyon-0.99_beta6-r2.apk
101.76KB
2025-12-10 20:29:01
tachyon-scenes-0.99_beta6-r2.apk
1.93MB
2025-12-10 20:29:01
tailspin-5.5.0-r0.apk
1.14MB
2025-10-28 08:57:10
tailspin-bash-completion-5.5.0-r0.apk
2.24KB
2025-10-28 08:57:10
tailspin-doc-5.5.0-r0.apk
3.01KB
2025-10-28 08:57:10
tailspin-fish-completion-5.5.0-r0.apk
2.11KB
2025-10-28 08:57:10
tailspin-zsh-completion-5.5.0-r0.apk
2.46KB
2025-10-28 08:57:10
tang-15-r0.apk
15.40KB
2025-01-20 06:17:18
tang-dbg-15-r0.apk
32.04KB
2025-01-20 06:17:18
tang-doc-15-r0.apk
20.57KB
2025-01-20 06:17:18
tang-openrc-15-r0.apk
1.89KB
2025-01-20 06:17:18
tangctl-0_git20241007-r9.apk
2.75MB
2025-12-05 00:48:57
tanidvr-1.4.1-r2.apk
21.11KB
2025-03-21 18:21:22
tanidvr-dhav2mkv-1.4.1-r2.apk
10.56KB
2025-03-21 18:21:22
tanka-0.35.0-r1.apk
7.47MB
2025-12-05 00:48:57
tartube-2.5.0-r2.apk
2.73MB
2025-12-09 14:12:50
tartube-pyc-2.5.0-r2.apk
1.07MB
2025-12-09 14:12:50
taskcafe-0.3.6-r18.apk
14.29MB
2025-12-05 00:48:57
taskcafe-openrc-0.3.6-r18.apk
1.82KB
2025-12-05 00:48:57
taskwarrior-tui-0.26.3-r0.apk
1.18MB
2025-03-26 12:24:38
taskwarrior-tui-bash-completion-0.26.3-r0.apk
1.98KB
2025-03-26 12:24:38
taskwarrior-tui-doc-0.26.3-r0.apk
3.94KB
2025-03-26 12:24:38
taskwarrior-tui-fish-completion-0.26.3-r0.apk
1.74KB
2025-03-26 12:24:38
tauri-cli-2.4.0-r0.apk
7.28MB
2025-04-03 03:12:01
tayga-0.9.6-r0.apk
28.77KB
2026-01-04 16:16:03
tayga-doc-0.9.6-r0.apk
5.96KB
2026-01-04 16:16:03
tcl-curl-7.22.0-r0.apk
31.76KB
2024-10-25 22:03:37
tcl-curl-doc-7.22.0-r0.apk
37.66KB
2024-10-25 22:03:37
tcmalloc-2.17-r0.apk
71.91KB
2025-08-05 16:00:44
tcmalloc-debug-2.17-r0.apk
114.75KB
2025-08-05 16:00:44
tcmalloc-minimal-2.17-r0.apk
55.36KB
2025-08-05 16:00:44
tcmalloc-minimal-debug-2.17-r0.apk
101.98KB
2025-08-05 16:00:44
tcmalloc-profiler-2.17-r0.apk
79.78KB
2025-08-05 16:00:44
tcmu-runner-1.6.0-r6.apk
81.75KB
2024-10-25 22:03:37
tcmu-runner-doc-1.6.0-r6.apk
2.51KB
2024-10-25 22:03:37
tcmu-runner-rbd-1.6.0-r6.apk
12.42KB
2024-10-25 22:03:37
tcpbench-3.00-r1.apk
12.12KB
2025-09-13 01:22:43
tcpbench-doc-3.00-r1.apk
5.26KB
2025-09-13 01:22:43
tdrop-0.5.0-r0.apk
11.82KB
2024-10-25 22:03:37
tdrop-doc-0.5.0-r0.apk
8.85KB
2024-10-25 22:03:37
tealdeer-1.8.0-r0.apk
810.82KB
2025-10-28 03:54:50
tealdeer-bash-completion-1.8.0-r0.apk
2.03KB
2025-10-28 03:54:50
tealdeer-fish-completion-1.8.0-r0.apk
2.27KB
2025-10-28 03:54:50
tealdeer-zsh-completion-1.8.0-r0.apk
2.38KB
2025-10-28 03:54:50
teapot-tools-0.4.2-r2.apk
2.00MB
2024-10-25 22:03:37
telegram-bot-api-9.1-r0.apk
7.17MB
2025-08-02 13:39:21
telegram-tdlib-1.8.51-r0.apk
7.17MB
2025-08-02 13:25:50
telegram-tdlib-dev-1.8.51-r0.apk
188.68KB
2025-08-02 13:25:50
telegram-tdlib-static-1.8.51-r0.apk
19.85MB
2025-08-02 13:25:51
templ-0.3.960-r1.apk
5.61MB
2025-12-05 00:48:57
tempo-2.9.0-r1.apk
32.66MB
2025-12-05 00:48:57
tempo-cli-2.9.0-r1.apk
23.29MB
2025-12-05 00:48:58
tempo-openrc-2.9.0-r1.apk
1.86KB
2025-12-05 00:48:58
tempo-query-2.9.0-r1.apk
6.94MB
2025-12-05 00:48:58
tempo-vulture-2.9.0-r1.apk
15.36MB
2025-12-05 00:48:58
tempo-vulture-openrc-2.9.0-r1.apk
1.97KB
2025-12-05 00:48:58
tenv-4.9.0-r1.apk
9.99MB
2025-12-05 00:48:58
tenv-bash-completion-4.9.0-r1.apk
6.10KB
2025-12-05 00:48:58
tenv-fish-completion-4.9.0-r1.apk
4.33KB
2025-12-05 00:48:58
tenv-zsh-completion-4.9.0-r1.apk
4.04KB
2025-12-05 00:48:58
tere-1.6.0-r0.apk
1.01MB
2024-10-25 22:03:38
tere-doc-1.6.0-r0.apk
13.99KB
2024-10-25 22:03:38
termbox-1.1.2-r1.apk
11.30KB
2024-10-25 22:03:38
termbox-dev-1.1.2-r1.apk
5.74KB
2024-10-25 22:03:38
termbox-static-1.1.2-r1.apk
12.50KB
2024-10-25 22:03:38
termcolor-2.1.0-r0.apk
1.47KB
2024-10-25 22:03:38
termcolor-dev-2.1.0-r0.apk
6.84KB
2024-10-25 22:03:38
terminalpp-0.8.4-r0.apk
411.36KB
2024-10-25 22:03:38
terminalpp-ropen-0.8.4-r0.apk
55.81KB
2024-10-25 22:03:38
terminology-1.14.0-r0.apk
2.67MB
2025-05-28 01:49:12
terminology-doc-1.14.0-r0.apk
8.99KB
2025-05-28 01:49:12
terminology-lang-1.14.0-r0.apk
142.98KB
2025-05-28 01:49:12
termusic-mpv-0.12.0-r0.apk
9.80MB
2025-10-13 04:41:03
tetragon-client-1.1.2-r10.apk
21.01MB
2025-12-05 00:48:58
tetragon-client-bash-completion-1.1.2-r10.apk
5.07KB
2025-12-05 00:48:58
tetragon-client-fish-completion-1.1.2-r10.apk
4.33KB
2025-12-05 00:48:58
tetragon-client-zsh-completion-1.1.2-r10.apk
4.05KB
2025-12-05 00:48:58
texlab-5.25.0-r0.apk
8.73MB
2025-12-30 23:14:46
texmaker-6.0.1-r0.apk
12.14MB
2025-06-27 13:32:00
texstudio-4.9.1-r0.apk
82.59MB
2025-12-15 23:29:44
tfupdate-0.8.2-r11.apk
5.07MB
2025-12-05 00:48:58
tfupdate-doc-0.8.2-r11.apk
2.27KB
2025-12-05 00:48:58
thanos-0.31.0-r18.apk
21.87MB
2025-12-05 00:48:59
thanos-openrc-0.31.0-r18.apk
1.95KB
2025-12-05 00:48:59
theforceengine-1.09.540-r2.apk
6.90MB
2026-01-09 22:19:32
theforceengine-doc-1.09.540-r2.apk
6.32MB
2026-01-09 22:19:32
thefuck-3.32-r5.apk
83.34KB
2024-10-25 22:03:41
thefuck-pyc-3.32-r5.apk
155.72KB
2024-10-25 22:03:41
thelounge-4.4.3-r0.apk
28.02MB
2024-10-25 22:03:41
thelounge-doc-4.4.3-r0.apk
2.30KB
2024-10-25 22:03:41
thelounge-openrc-4.4.3-r0.apk
2.04KB
2024-10-25 22:03:41
theme.sh-1.1.5-r0.apk
39.08KB
2024-10-25 22:03:41
theme.sh-doc-1.1.5-r0.apk
2.32KB
2024-10-25 22:03:42
thermald-2.15.10-r0.apk
172.48KB
2025-10-23 11:12:43
thermald-doc-2.15.10-r0.apk
8.66KB
2025-10-23 11:12:43
thermald-openrc-2.15.10-r0.apk
1.76KB
2025-10-23 11:12:43
throttled-0.10.0-r1.apk
14.55KB
2024-12-15 21:29:39
throttled-openrc-0.10.0-r1.apk
1.60KB
2024-12-15 21:29:39
throttled-pyc-0.10.0-r1.apk
28.37KB
2024-12-15 21:29:39
thumbdrives-0.3.2-r2.apk
10.94KB
2024-10-25 22:03:42
thunar-gtkhash-plugin-1.5-r0.apk
22.92KB
2024-10-25 22:03:42
thunarx-python-0.5.2-r2.apk
9.29KB
2024-10-25 22:03:42
thunarx-python-doc-0.5.2-r2.apk
25.21KB
2024-10-25 22:03:42
tick-1.2.3-r0.apk
10.42KB
2025-10-06 09:21:41
tick-doc-1.2.3-r0.apk
5.52KB
2025-10-06 09:21:41
ticker-5.0.7-r7.apk
3.65MB
2025-12-05 00:48:59
ticker-bash-completion-5.0.7-r7.apk
6.12KB
2025-12-05 00:48:59
ticker-fish-completion-5.0.7-r7.apk
4.34KB
2025-12-05 00:48:59
ticker-zsh-completion-5.0.7-r7.apk
4.06KB
2025-12-05 00:48:59
timeshift-25.12.4-r0.apk
471.31KB
2026-01-09 18:03:48
timeshift-doc-25.12.4-r0.apk
3.16KB
2026-01-09 18:03:48
timeshift-lang-25.12.4-r0.apk
1.02MB
2026-01-09 18:03:48
timew-1.4.3-r1.apk
252.14KB
2024-10-25 22:03:42
timew-bash-completion-1.4.3-r1.apk
2.76KB
2024-10-25 22:03:42
timew-doc-1.4.3-r1.apk
53.15KB
2024-10-25 22:03:42
timewarrior-1.7.1-r0.apk
262.09KB
2024-10-25 22:03:42
timewarrior-doc-1.7.1-r0.apk
22.21KB
2024-10-25 22:03:42
timoni-0.23.0-r10.apk
24.20MB
2025-12-05 00:48:59
timoni-bash-completion-0.23.0-r10.apk
7.96KB
2025-12-05 00:48:59
timoni-doc-0.23.0-r10.apk
337.93KB
2025-12-05 00:48:59
timoni-fish-completion-0.23.0-r10.apk
4.32KB
2025-12-05 00:48:59
timoni-zsh-completion-0.23.0-r10.apk
4.04KB
2025-12-05 00:48:59
tintin-2.02.51-r0.apk
1.82MB
2025-11-24 14:41:46
tinyemu-2019.12.21-r0.apk
158.28KB
2025-05-26 02:35:54
tinygltf-2.9.7-r0.apk
143.44KB
2025-11-02 22:50:52
tinygltf-dev-2.9.7-r0.apk
57.17KB
2025-11-02 22:50:52
tinymist-0.14.2-r0.apk
19.70MB
2025-11-22 18:11:21
tinyscheme-1.42-r1.apk
57.89KB
2024-10-25 22:03:42
tiptop-2.3.1-r2.apk
34.15KB
2024-10-25 22:03:42
tiptop-doc-2.3.1-r2.apk
7.06KB
2024-10-25 22:03:42
tldr-python-client-3.3.0-r0.apk
12.11KB
2024-12-01 19:09:12
tldr-python-client-doc-3.3.0-r0.apk
3.50KB
2024-12-01 19:09:12
tldr-python-client-pyc-3.3.0-r0.apk
14.18KB
2024-12-01 19:09:12
tmate-2.4.0-r4.apk
270.04KB
2024-10-25 22:03:42
tmate-doc-2.4.0-r4.apk
71.72KB
2024-10-25 22:03:42
tmpl-0.4.0-r16.apk
2.80MB
2025-12-05 00:48:59
tmpl-doc-0.4.0-r16.apk
2.25KB
2025-12-05 00:48:59
tmpmail-1.2.3-r2.apk
7.02KB
2024-10-25 22:03:42
tmpmail-doc-1.2.3-r2.apk
3.25KB
2024-10-25 22:03:42
tmux-resurrect-4.0.0-r0.apk
13.85KB
2024-10-25 22:03:42
tmux-resurrect-doc-4.0.0-r0.apk
8.39KB
2024-10-25 22:03:42
tncattach-0.1.9-r1.apk
22.49KB
2024-10-25 22:03:42
tncattach-doc-0.1.9-r1.apk
3.91KB
2024-10-25 22:03:42
tnef-1.4.18-r0.apk
24.93KB
2024-10-25 22:03:42
tnef-doc-1.4.18-r0.apk
4.22KB
2024-10-25 22:03:42
toapk-1.0-r0.apk
10.68KB
2024-10-25 22:03:42
today-6.2.1-r0.apk
3.18KB
2025-06-17 18:29:12
today-doc-6.2.1-r0.apk
3.30KB
2025-06-17 18:29:12
tofu-ls-0.2.0-r1.apk
6.10MB
2025-12-05 00:48:59
tofu-ls-doc-0.2.0-r1.apk
35.44KB
2025-12-05 00:48:59
tofutf-0.10.0-r10.apk
1.27KB
2025-12-05 00:48:59
tofutf-agent-0.10.0-r10.apk
9.50MB
2025-12-05 00:48:59
tofutf-agent-openrc-0.10.0-r10.apk
1.99KB
2025-12-05 00:48:59
tofutf-cli-0.10.0-r10.apk
8.77MB
2025-12-05 00:48:59
tofutf-server-0.10.0-r10.apk
13.88MB
2025-12-05 00:48:59
tofutf-server-openrc-0.10.0-r10.apk
2.00KB
2025-12-05 00:49:00
tomcat9-9.0.112-r0.apk
6.76MB
2025-12-01 22:49:44
tomcat9-admin-9.0.112-r0.apk
127.13KB
2025-12-01 22:49:44
tomcat9-doc-9.0.112-r0.apk
1.73MB
2025-12-01 22:49:44
tomcat9-examples-9.0.112-r0.apk
438.60KB
2025-12-01 22:49:44
tomcat9-openrc-9.0.112-r0.apk
4.60KB
2025-12-01 22:49:44
toml2json-1.3.2-r0.apk
347.70KB
2025-09-01 16:13:26
toml2json-doc-1.3.2-r0.apk
3.70KB
2025-09-01 16:13:26
tonutils-reverse-proxy-0.4.6-r4.apk
4.54MB
2025-12-05 00:49:00
tonutils-reverse-proxy-doc-0.4.6-r4.apk
3.23KB
2025-12-05 00:49:00
topgit-0.19.13-r1.apk
126.66KB
2024-10-25 22:03:43
topgit-bash-completion-0.19.13-r1.apk
4.03KB
2024-10-25 22:03:43
topgit-doc-0.19.13-r1.apk
73.43KB
2024-10-25 22:03:43
torrent-file-editor-0.3.18-r0.apk
363.72KB
2024-10-25 22:03:43
toss-1.1-r1.apk
10.82KB
2025-05-29 15:00:20
touchpad-emulator-0.3-r0.apk
13.19KB
2025-05-26 12:33:44
toybox-0.8.13-r0.apk
235.04KB
2025-10-16 13:30:34
tpm2-pkcs11-1.9.2-r0.apk
129.69KB
2025-12-13 18:07:27
tpm2-pkcs11-dev-1.9.2-r0.apk
1.89KB
2025-12-13 18:07:27
tpm2-pkcs11-pyc-1.9.2-r0.apk
69.91KB
2025-12-13 18:07:27
tpp-bypass-0.8.4-r0.apk
12.71KB
2024-10-25 22:03:43
tqm-1.18.0-r0.apk
4.86MB
2025-12-13 19:47:45
trace-cmd-3.3.1-r1.apk
163.55KB
2025-01-19 16:15:08
trace-cmd-bash-completion-3.3.1-r1.apk
3.35KB
2025-01-19 16:15:08
trace-cmd-dbg-3.3.1-r1.apk
507.81KB
2025-01-19 16:15:08
trace-cmd-doc-3.3.1-r1.apk
171.26KB
2025-01-19 16:15:08
transito-0.10.0-r0.apk
8.77MB
2025-12-22 00:45:53
transito-doc-0.10.0-r0.apk
755.83KB
2025-12-22 00:45:53
transmission-remote-gtk-1.6.0-r0.apk
145.45KB
2024-10-25 22:03:43
transmission-remote-gtk-doc-1.6.0-r0.apk
4.23KB
2024-10-25 22:03:43
transmission-remote-gtk-lang-1.6.0-r0.apk
106.01KB
2024-10-25 22:03:43
trantor-1.5.18-r0.apk
229.05KB
2024-10-25 22:03:43
trantor-dev-1.5.18-r0.apk
34.00KB
2024-10-25 22:03:43
trantor-doc-1.5.18-r0.apk
2.61KB
2024-10-25 22:03:43
tre-0.8.0-r2.apk
26.72KB
2024-10-25 22:03:43
tre-dev-0.8.0-r2.apk
5.20KB
2024-10-25 22:03:43
tre-static-0.8.0-r2.apk
28.01KB
2024-10-25 22:03:43
tree-sitter-caddy-0_git20230322-r0.apk
69.96KB
2024-10-25 22:03:43
tree-sitter-caddy-doc-0_git20230322-r0.apk
2.29KB
2024-10-25 22:03:43
tree-sitter-clojure-0.0.13-r0.apk
23.17KB
2025-07-23 14:59:32
tree-sitter-dart-0_git20250228-r0.apk
99.01KB
2025-03-11 13:19:03
tree-sitter-git-commit-0_git20211225-r4.apk
12.86KB
2025-07-26 01:58:14
tree-sitter-git-diff-0_git20230730-r1.apk
9.76KB
2025-07-26 01:58:14
tree-sitter-git-rebase-0_git20240722-r0.apk
5.08KB
2025-03-11 13:19:03
tree-sitter-gleam-1.1.0-r0.apk
63.46KB
2025-10-09 10:23:47
tree-sitter-hare-0_git20230616-r2.apk
32.47KB
2025-07-23 00:29:25
tree-sitter-haskell-0.23.1-r0.apk
283.80KB
2025-01-09 18:06:46
tree-sitter-hcl-1.2.0-r0.apk
22.23KB
2025-06-19 03:46:46
tree-sitter-just-0_git20230318-r0.apk
14.12KB
2024-10-25 22:03:43
tree-sitter-make-1.1.1-r0.apk
37.61KB
2026-01-03 22:54:59
tree-sitter-pascal-0.9.1-r0.apk
81.65KB
2024-10-25 22:03:43
tree-sitter-pascal-doc-0.9.1-r0.apk
2.27KB
2024-10-25 22:03:43
tree-sitter-typst-0.11.0-r1.apk
106.93KB
2026-01-04 18:20:08
treecat-1.0.2_git20240706-r1.apk
111.56KB
2024-11-29 15:19:03
treecat-doc-1.0.2_git20240706-r1.apk
3.29KB
2024-11-29 15:19:03
tremc-0.9.4-r0.apk
52.59KB
2025-07-16 07:23:10
tremc-bash-completion-0.9.4-r0.apk
1.90KB
2025-07-16 07:23:10
tremc-doc-0.9.4-r0.apk
2.82KB
2025-07-16 07:23:10
tremc-zsh-completion-0.9.4-r0.apk
1.82KB
2025-07-16 07:23:10
trigger-rally-0.6.7-r3.apk
302.12KB
2025-03-25 17:13:50
trigger-rally-data-0.6.7-r3.apk
351.99MB
2025-03-25 17:13:54
trigger-rally-doc-0.6.7-r3.apk
28.13KB
2025-03-25 17:13:54
trippy-0.13.0-r0.apk
2.04MB
2025-05-19 02:20:46
trippy-bash-completion-0.13.0-r0.apk
3.18KB
2025-05-19 02:20:46
trippy-zsh-completion-0.13.0-r0.apk
4.85KB
2025-05-19 02:20:46
trivy-0.68.2-r0.apk
69.23MB
2025-12-19 13:40:41
tsung-1.8.0-r3.apk
721.75KB
2025-06-13 08:39:32
ttfautohint-1.8.4-r0.apk
26.98KB
2024-10-25 22:03:51
ttfautohint-dev-1.8.4-r0.apk
153.16KB
2024-10-25 22:03:51
ttfautohint-doc-1.8.4-r0.apk
8.10KB
2024-10-25 22:03:51
ttfautohint-gui-1.8.4-r0.apk
56.80KB
2024-10-25 22:03:51
ttfautohint-libs-1.8.4-r0.apk
102.12KB
2024-10-25 22:03:51
tty-clock-2.3_git20240104-r0.apk
8.26KB
2024-10-25 22:03:51
tty-clock-doc-2.3_git20240104-r0.apk
3.12KB
2024-10-25 22:03:51
tty-proxy-0.0.2-r33.apk
2.71MB
2025-12-05 00:49:01
tty-share-2.4.0-r23.apk
3.92MB
2025-12-05 00:49:01
ttynvt-0.17-r0.apk
14.30KB
2025-08-22 15:50:36
ttyper-1.6.0-r0.apk
596.01KB
2025-02-05 23:45:38
tuckr-0.12.0-r0.apk
502.54KB
2025-11-30 12:11:11
tui-journal-0.10.0-r0.apk
1.90MB
2024-10-25 22:03:51
tui-journal-doc-0.10.0-r0.apk
6.89KB
2024-10-25 22:03:51
tup-0.7.11-r1.apk
230.43KB
2025-06-19 11:45:03
tup-doc-0.7.11-r1.apk
20.87KB
2025-06-19 11:45:03
tup-vim-0.7.11-r1.apk
2.52KB
2025-06-19 11:45:03
tuptime-5.2.4-r2.apk
13.97KB
2025-09-06 19:20:54
tuptime-doc-5.2.4-r2.apk
3.80KB
2025-09-06 19:20:54
tuptime-openrc-5.2.4-r2.apk
1.75KB
2025-09-06 19:20:54
turn-rs-3.4.0-r1.apk
567.97KB
2025-06-12 16:59:29
turn-rs-doc-3.4.0-r1.apk
10.83KB
2025-06-12 16:59:29
turn-rs-openrc-3.4.0-r1.apk
1.96KB
2025-06-12 16:59:29
turnstile-0.1.10-r3.apk
37.21KB
2024-10-25 22:03:51
turnstile-doc-0.1.10-r3.apk
5.70KB
2024-10-25 22:03:51
turnstile-openrc-0.1.10-r3.apk
1.80KB
2024-10-25 22:03:51
turntable-0.5.1-r0.apk
247.88KB
2026-01-07 02:06:09
turntable-lang-0.5.1-r0.apk
27.43KB
2026-01-07 02:06:09
tuwunel-1.4.9.1-r0.apk
13.62MB
2026-01-01 15:22:52
tuwunel-openrc-1.4.9.1-r0.apk
1.95KB
2026-01-01 15:22:52
twemproxy-0.5.0-r0.apk
66.46KB
2024-10-25 22:03:51
twemproxy-doc-0.5.0-r0.apk
17.21KB
2024-10-25 22:03:51
twiggy-0.6.0-r3.apk
796.09KB
2024-10-25 22:03:51
twinkle-1.10.3-r3.apk
2.43MB
2025-01-04 23:55:19
twinkle-doc-1.10.3-r3.apk
3.50KB
2025-01-04 23:55:19
ty-0.0.4-r0.apk
6.59MB
2025-12-21 20:50:45
ty-bash-completion-0.0.4-r0.apk
2.56KB
2025-12-21 20:50:45
ty-fish-completion-0.0.4-r0.apk
3.18KB
2025-12-21 20:50:45
ty-pyc-0.0.4-r0.apk
3.87KB
2025-12-21 20:50:45
ty-zsh-completion-0.0.4-r0.apk
3.50KB
2025-12-21 20:50:45
typlite-0.14.2-r0.apk
15.28MB
2025-11-22 18:11:21
typobuster-1.0.0-r0.apk
129.35KB
2025-04-24 01:26:32
typstyle-0.12.14-r0.apk
504.86KB
2025-01-12 16:14:48
u1db-qt-0.1.8-r0.apk
95.12KB
2024-10-25 22:03:51
uasm-2.56.2-r0.apk
271.11KB
2024-10-25 22:03:51
ubase-20200605-r3.apk
42.61KB
2024-10-25 22:03:51
ubase-doc-20200605-r3.apk
21.23KB
2024-10-25 22:03:51
ubuntu-archive-keyring-2023.11.28.1-r0.apk
16.16KB
2024-10-25 22:03:51
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2.29KB
2024-10-25 22:03:51
ubus-2025.10.17-r0.apk
33.65KB
2025-10-25 15:57:45
ubus-dev-2025.10.17-r0.apk
5.59KB
2025-10-25 15:57:45
uclient-20251003-r0.apk
17.36KB
2026-01-05 21:39:25
uclient-dev-20251003-r0.apk
3.54KB
2026-01-05 21:39:25
uclient-fetch-20251003-r0.apk
9.76KB
2026-01-05 21:39:25
udpt-3.1.2-r0.apk
694.54KB
2024-10-25 22:03:51
udpt-openrc-3.1.2-r0.apk
1.81KB
2024-10-25 22:03:51
ueberzug-18.3.1-r0.apk
64.30KB
2025-02-22 17:08:56
ueberzug-pyc-18.3.1-r0.apk
63.77KB
2025-02-22 17:08:56
ufw-docker-251123-r0.apk
9.58KB
2026-01-04 02:59:04
ufw-docker-doc-251123-r0.apk
13.58KB
2026-01-04 02:59:04
undock-0.10.0-r5.apk
10.53MB
2025-12-05 00:49:01
unicorn-2.1.4-r0.apk
7.29MB
2025-10-14 17:38:13
unicorn-dev-2.1.4-r0.apk
8.56MB
2025-10-14 17:38:13
unit-php81-1.35.0-r1.apk
32.09KB
2025-09-15 18:03:40
unit-php82-1.35.0-r0.apk
32.10KB
2025-10-24 17:48:24
up-0.4-r34.apk
1.26MB
2025-12-05 00:49:01
update-sysfs-2.1.1_p7-r0.apk
3.13KB
2025-11-12 12:44:49
upterm-0.17.0-r1.apk
7.38MB
2025-12-05 00:49:01
upterm-bash-completion-0.17.0-r1.apk
5.56KB
2025-12-05 00:49:01
upterm-doc-0.17.0-r1.apk
7.04KB
2025-12-05 00:49:01
upterm-server-0.17.0-r1.apk
6.97MB
2025-12-05 00:49:01
upterm-server-openrc-0.17.0-r1.apk
1.96KB
2025-12-05 00:49:01
upterm-zsh-completion-0.17.0-r1.apk
4.04KB
2025-12-05 00:49:01
uranium-5.2.2-r3.apk
595.54KB
2024-10-25 22:03:52
urlwatch-2.28-r2.apk
48.71KB
2024-10-25 22:03:52
urlwatch-doc-2.28-r2.apk
33.19KB
2024-10-25 22:03:52
urlwatch-pyc-2.28-r2.apk
101.38KB
2024-10-25 22:03:52
usb-moded-notify-0.3.0-r0.apk
3.10KB
2025-12-28 20:16:54
usb-moded-notify-systemd-0.3.0-r0.apk
1.78KB
2025-12-28 20:16:54
usbtop-1.0-r0.apk
12.96KB
2025-04-14 00:32:05
usql-0.19.26-r1.apk
42.96MB
2025-12-05 00:49:01
ustr-1.0.4-r1.apk
59.64KB
2024-10-25 22:03:53
ustr-debug-1.0.4-r1.apk
77.79KB
2024-10-25 22:03:53
ustr-dev-1.0.4-r1.apk
91.45KB
2024-10-25 22:03:53
ustr-doc-1.0.4-r1.apk
96.58KB
2024-10-25 22:03:53
ustr-static-1.0.4-r1.apk
164.83KB
2024-10-25 22:03:53
utop-2.9.1-r4.apk
13.28MB
2024-10-25 22:03:53
utop-common-2.9.1-r4.apk
2.04KB
2024-10-25 22:03:53
utop-doc-2.9.1-r4.apk
5.75KB
2024-10-25 22:03:53
utop-emacs-2.9.1-r4.apk
12.83KB
2024-10-25 22:03:53
utop-full-2.9.1-r4.apk
13.29MB
2024-10-25 22:03:53
uucp-1.07-r6.apk
487.17KB
2024-10-25 22:03:53
uucp-doc-1.07-r6.apk
118.10KB
2024-10-25 22:03:53
uxn-1.0-r0.apk
40.22KB
2024-10-25 22:03:53
uxn-doc-1.0-r0.apk
4.18KB
2024-10-25 22:03:53
valent-1.0.0_alpha49-r0.apk
347.16KB
2025-12-24 20:31:15
valent-dev-1.0.0_alpha49-r0.apk
94.38KB
2025-12-24 20:31:15
valent-lang-1.0.0_alpha49-r0.apk
38.80KB
2025-12-24 20:31:15
valent-libs-1.0.0_alpha49-r0.apk
132.70KB
2025-12-24 20:31:15
vali-0.1.0-r1.apk
29.57KB
2025-11-30 13:57:30
vali-dev-0.1.0-r1.apk
4.31KB
2025-11-30 13:57:30
vals-0.42.4-r1.apk
37.93MB
2025-12-05 00:49:02
varnish-modules-0.26.0-r0.apk
39.52KB
2025-07-06 22:16:11
varnish-modules-doc-0.26.0-r0.apk
21.53KB
2025-07-06 22:16:11
vcdimager-2.0.1-r5.apk
474.92KB
2025-01-22 12:33:42
vcdimager-dev-2.0.1-r5.apk
119.49KB
2025-01-22 12:33:42
vcdimager-doc-2.0.1-r5.apk
74.29KB
2025-01-22 12:33:42
vcmi-1.7.1-r0.apk
13.40MB
2025-12-31 09:51:24
vcsh-2.0.5-r0.apk
8.79KB
2024-10-25 22:03:54
vcsh-bash-completion-2.0.5-r0.apk
2.92KB
2024-10-25 22:03:54
vcsh-doc-2.0.5-r0.apk
27.20KB
2024-10-25 22:03:54
vcsh-zsh-completion-2.0.5-r0.apk
2.93KB
2024-10-25 22:03:54
vcstool-0.3.0-r5.apk
34.80KB
2024-10-25 22:03:54
vcstool-bash-completion-0.3.0-r5.apk
1.76KB
2024-10-25 22:03:54
vcstool-pyc-0.3.0-r5.apk
57.72KB
2024-10-25 22:03:54
vcstool-tcsh-completion-0.3.0-r5.apk
1.64KB
2024-10-25 22:03:54
vcstool-zsh-completion-0.3.0-r5.apk
1.73KB
2024-10-25 22:03:54
vector-0.50.0-r0.apk
23.85MB
2025-10-05 22:20:01
vector-doc-0.50.0-r0.apk
5.80KB
2025-10-05 22:20:01
vector-openrc-0.50.0-r0.apk
2.19KB
2025-10-05 22:20:01
vectoroids-1.1.0-r2.apk
281.69KB
2024-10-25 22:03:54
vectoroids-doc-1.1.0-r2.apk
2.29KB
2024-10-25 22:03:54
vera++-1.3.0-r11.apk
186.08KB
2025-10-12 17:25:46
vertigo-0.3.4-r1.apk
1.67MB
2025-12-05 00:49:02
vertigo-doc-0.3.4-r1.apk
1.82KB
2025-12-05 00:49:02
vfd-configurations-0_git20230612-r0.apk
24.80KB
2024-10-25 22:03:54
vice-3.9-r3.apk
13.07MB
2026-01-09 22:19:32
vice-doc-3.9-r3.apk
2.16MB
2026-01-09 22:19:32
victoria-logs-1.41.1-r0.apk
5.49MB
2025-12-19 18:28:10
victoria-logs-openrc-1.41.1-r0.apk
2.36KB
2025-12-19 18:28:10
victoria-logs-vlagent-1.41.1-r0.apk
4.05MB
2025-12-19 18:28:10
victoria-logs-vlogscli-1.41.1-r0.apk
3.35MB
2025-12-19 18:28:10
vidcutter-6.0.5.3-r0.apk
2.80MB
2024-10-25 22:03:55
vidcutter-doc-6.0.5.3-r0.apk
24.20KB
2024-10-25 22:03:55
vidcutter-pyc-6.0.5.3-r0.apk
1.90MB
2024-10-25 22:03:55
video-trimmer-25.03-r0.apk
372.31KB
2025-06-30 16:45:09
video-trimmer-lang-25.03-r0.apk
92.59KB
2025-06-30 16:45:09
viewnior-1.8-r1.apk
71.89KB
2024-10-25 22:03:55
viewnior-doc-1.8-r1.apk
2.10KB
2024-10-25 22:03:55
viewnior-lang-1.8-r1.apk
85.15KB
2024-10-25 22:03:55
vile-9.8z_p1-r2.apk
779.57KB
2025-10-06 14:28:57
vile-common-9.8z_p1-r2.apk
353.97KB
2025-10-06 14:28:57
vile-doc-9.8z_p1-r2.apk
357.18KB
2025-10-06 14:28:57
vim-airline-0.11-r0.apk
86.39KB
2024-10-25 22:03:55
vim-airline-doc-0.11-r0.apk
12.13KB
2024-10-25 22:03:55
vim-nerdtree-7.1.3-r0.apk
66.56KB
2025-03-04 23:04:06
vim-rust-305-r1.apk
19.90KB
2025-08-21 10:55:28
vimv-3.1.0-r0.apk
249.66KB
2025-10-13 00:21:50
vimv-doc-3.1.0-r0.apk
2.00KB
2025-10-13 00:21:50
virtctl-1.6.2-r1.apk
15.71MB
2025-12-05 00:49:02
virtctl-bash-completion-1.6.2-r1.apk
5.12KB
2025-12-05 00:49:02
virtctl-fish-completion-1.6.2-r1.apk
4.32KB
2025-12-05 00:49:02
virtctl-zsh-completion-1.6.2-r1.apk
4.04KB
2025-12-05 00:49:02
virter-0.29.0-r5.apk
6.04MB
2025-12-05 00:49:02
virter-bash-completion-0.29.0-r5.apk
6.10KB
2025-12-05 00:49:02
virter-doc-0.29.0-r5.apk
14.88KB
2025-12-05 00:49:02
virter-fish-completion-0.29.0-r5.apk
4.33KB
2025-12-05 00:49:02
virter-zsh-completion-0.29.0-r5.apk
4.05KB
2025-12-05 00:49:02
virtualgl-3.1.4-r0.apk
1.89MB
2025-10-09 10:23:50
virtualgl-dev-3.1.4-r0.apk
6.12KB
2025-10-09 10:23:50
virtualgl-doc-3.1.4-r0.apk
314.67KB
2025-10-09 10:23:50
visidata-3.3-r0.apk
424.65KB
2025-09-15 16:56:41
visidata-doc-3.3-r0.apk
18.39KB
2025-09-15 16:56:41
visidata-pyc-3.3-r0.apk
845.80KB
2025-09-15 16:56:41
visidata-zsh-completion-3.3-r0.apk
9.43KB
2025-09-15 16:56:41
vit-2.3.2-r1.apk
80.48KB
2024-10-25 22:03:56
vit-pyc-2.3.2-r1.apk
151.48KB
2024-10-25 22:03:56
vkbasalt-0.3.2.10-r0.apk
403.69KB
2024-10-25 22:03:56
vkbasalt-doc-0.3.2.10-r0.apk
3.08KB
2024-10-25 22:03:56
vlang-0.4.11-r0.apk
30.33MB
2025-06-21 16:57:22
vmlinux.h-6.18-r0.apk
247.97KB
2025-12-17 00:34:54
vmtouch-1.3.1-r0.apk
11.81KB
2024-10-25 22:03:57
vmtouch-doc-1.3.1-r0.apk
8.04KB
2024-10-25 22:03:57
voikko-fi-2.5-r0.apk
1.56MB
2024-10-25 22:03:57
volatility3-2.26.2-r0.apk
1.02MB
2025-11-21 05:24:46
volatility3-pyc-2.26.2-r0.apk
1.25MB
2025-11-21 05:24:46
volumeicon-0.5.1-r1.apk
43.17KB
2024-10-25 22:03:57
volumeicon-lang-0.5.1-r1.apk
3.76KB
2024-10-25 22:03:57
vscodium-1.106.37943-r0.apk
29.20MB
2025-12-21 21:11:20
vscodium-bash-completion-1.106.37943-r0.apk
2.19KB
2025-12-21 21:11:20
vscodium-zsh-completion-1.106.37943-r0.apk
2.66KB
2025-12-21 21:11:20
vym-2.9.26-r0.apk
2.79MB
2024-10-25 22:03:57
vym-doc-2.9.26-r0.apk
3.39MB
2024-10-25 22:03:57
w_scan2-1.0.17-r0.apk
133.17KB
2025-06-11 20:37:05
w_scan2-doc-1.0.17-r0.apk
4.15KB
2025-06-11 20:37:05
wabt-1.0.37-r0.apk
4.02MB
2025-04-07 00:41:45
wabt-doc-1.0.37-r0.apk
13.42KB
2025-04-07 00:41:45
waifu2x-converter-cpp-5.3.4-r9.apk
11.61MB
2025-07-07 10:43:19
wakeonlan-0.42-r0.apk
4.50KB
2024-10-25 22:03:57
wakeonlan-doc-0.42-r0.apk
7.51KB
2024-10-25 22:03:57
walk-1.13.0-r8.apk
3.22MB
2025-12-05 00:49:02
walk-doc-1.13.0-r8.apk
2.23KB
2025-12-05 00:49:02
walk-sor-0_git20190920-r1.apk
5.47KB
2024-10-25 22:03:57
walk-sor-doc-0_git20190920-r1.apk
7.77KB
2024-10-25 22:03:57
warp-s3-1.3.1-r1.apk
8.54MB
2025-12-05 00:49:03
warpinator-2.0.2-r0.apk
224.55KB
2026-01-07 02:06:09
warpinator-lang-2.0.2-r0.apk
278.58KB
2026-01-07 02:06:09
warpinator-nemo-2.0.2-r0.apk
4.58KB
2026-01-07 02:06:09
warzone2100-4.5.5-r5.apk
6.53MB
2025-12-20 17:23:50
warzone2100-data-4.5.5-r5.apk
328.05MB
2025-12-20 17:24:01
warzone2100-doc-4.5.5-r5.apk
1.51MB
2025-12-20 17:24:01
warzone2100-lang-4.5.5-r5.apk
2.57MB
2025-12-20 17:24:01
wasmtime-39.0.1-r0.apk
9.20MB
2025-12-08 23:02:28
wasmtime-dev-39.0.1-r0.apk
114.34KB
2025-12-08 23:02:28
watchbind-0.2.1-r1.apk
1.08MB
2024-10-25 22:04:03
watchbind-doc-0.2.1-r1.apk
6.57KB
2024-10-25 22:04:03
watchdog-5.16-r2.apk
41.86KB
2024-10-25 22:04:03
watchdog-doc-5.16-r2.apk
14.22KB
2024-10-25 22:04:03
watershot-0.2.0-r0.apk
1.66MB
2024-10-25 22:04:03
way-displays-1.15.0-r0.apk
109.48KB
2025-09-30 12:47:22
way-displays-doc-1.15.0-r0.apk
4.55KB
2025-09-30 12:47:22
way-secure-0.2.0-r0.apk
196.25KB
2025-04-19 01:14:17
way-secure-doc-0.2.0-r0.apk
3.03KB
2025-04-19 01:14:17
wayfarer-1.4.0-r0.apk
62.67KB
2025-11-07 19:28:27
wayfire-0.9.0-r0.apk
2.44MB
2025-02-27 22:17:52
wayfire-dev-0.9.0-r0.apk
129.01KB
2025-02-27 22:17:52
wayfire-doc-0.9.0-r0.apk
3.64KB
2025-02-27 22:17:52
wayfire-plugins-extra-0.9.0-r0.apk
544.26KB
2025-02-27 22:17:52
waylevel-1.0.0-r1.apk
303.11KB
2024-10-25 22:04:03
waynergy-0.0.17-r1.apk
45.56KB
2025-09-13 01:22:43
wayprompt-0.1.2-r2.apk
469.29KB
2025-05-24 05:16:34
wayprompt-doc-0.1.2-r2.apk
6.09KB
2025-05-24 05:16:34
wayqt-0.3.0-r1.apk
133.66KB
2025-08-21 10:47:58
wayqt-dev-0.3.0-r1.apk
18.36KB
2025-08-21 10:47:58
wazero-1.9.0-r7.apk
2.13MB
2025-12-05 00:49:03
wbg-1.3.0-r1.apk
39.79KB
2025-11-01 17:12:57
wch-isp-0.4.1-r2.apk
10.00KB
2024-10-25 22:04:03
wch-isp-doc-0.4.1-r2.apk
2.66KB
2024-10-25 22:04:03
wch-isp-udev-rules-0.4.1-r2.apk
1.65KB
2024-10-25 22:04:03
wcm-0.9.0-r0.apk
365.95KB
2025-02-27 22:17:52
webhookd-1.20.2-r5.apk
3.43MB
2025-12-05 00:49:03
webhookd-doc-1.20.2-r5.apk
2.26KB
2025-12-05 00:49:03
webhookd-openrc-1.20.2-r5.apk
2.25KB
2025-12-05 00:49:03
webtunnel-0.0.2-r6.apk
3.82MB
2025-12-05 00:49:03
weggli-0.2.4-r1.apk
822.97KB
2024-10-25 22:04:03
welle-cli-2.7-r0.apk
305.08KB
2025-04-07 22:40:42
welle-io-2.7-r0.apk
401.09KB
2025-04-07 22:40:42
welle-io-doc-2.7-r0.apk
3.98KB
2025-04-07 22:40:42
wf-config-0.9.0-r0.apk
106.05KB
2025-02-27 22:17:52
wf-config-dev-0.9.0-r0.apk
16.26KB
2025-02-27 22:17:52
wf-shell-0.9.0-r0.apk
6.08MB
2025-02-27 22:17:52
wf-shell-dev-0.9.0-r0.apk
1.65KB
2025-02-27 22:17:52
wf-shell-doc-0.9.0-r0.apk
3.08KB
2025-02-27 22:17:52
wgcf-2.2.29-r1.apk
4.78MB
2025-12-05 00:49:03
wgcf-bash-completion-2.2.29-r1.apk
6.10KB
2025-12-05 00:49:03
wgcf-fish-completion-2.2.29-r1.apk
4.32KB
2025-12-05 00:49:03
wgcf-zsh-completion-2.2.29-r1.apk
4.04KB
2025-12-05 00:49:03
whatsie-4.16.3-r0.apk
15.29MB
2025-01-12 15:59:56
whatsie-doc-4.16.3-r0.apk
2.20KB
2025-01-12 15:59:56
whipper-0.10.0-r5.apk
112.92KB
2024-10-25 22:04:04
whipper-pyc-0.10.0-r5.apk
185.06KB
2024-10-25 22:04:04
wiimms-iso-tools-3.04a-r1.apk
3.55MB
2024-10-25 22:04:04
wiimms-iso-tools-doc-3.04a-r1.apk
65.19KB
2024-10-25 22:04:04
wiimms-szs-tools-2.26a-r0.apk
5.03MB
2024-10-25 22:04:04
wiimms-szs-tools-doc-2.26a-r0.apk
49.62KB
2024-10-25 22:04:04
wiki-tui-0.9.1-r0.apk
2.07MB
2025-11-02 20:10:05
wiki-tui-doc-0.9.1-r0.apk
4.53KB
2025-11-02 20:10:05
wine-mono-10.4.1-r0.apk
80.51MB
2026-01-07 02:06:10
wine-staging-10.20-r0.apk
131.05MB
2025-11-29 18:53:58
wine-staging-dev-10.20-r0.apk
13.15MB
2025-11-29 18:53:59
wine-staging-doc-10.20-r0.apk
42.70KB
2025-11-29 18:53:59
winetricks-20250102-r0.apk
172.48KB
2025-02-06 00:22:14
winetricks-bash-completion-20250102-r0.apk
8.64KB
2025-02-06 00:22:14
winetricks-doc-20250102-r0.apk
3.69KB
2025-02-06 00:22:14
wiremapper-0.10.0-r0.apk
21.65KB
2024-10-25 22:04:08
wiremix-0.7.0-r0.apk
787.71KB
2025-08-22 15:01:48
wiremix-doc-0.7.0-r0.apk
9.40KB
2025-08-22 15:01:48
witchery-0.0.3-r2.apk
3.20KB
2024-10-25 22:04:08
wk-adblock-0.0.4-r5.apk
165.93KB
2024-10-25 22:04:08
wk-adblock-doc-0.0.4-r5.apk
2.09KB
2024-10-25 22:04:08
wl-clip-persist-0.5.0-r0.apk
932.43KB
2025-10-26 14:28:57
wl-clipboard-x11-5-r3.apk
3.42KB
2024-10-25 22:04:08
wl-clipboard-x11-doc-5-r3.apk
2.94KB
2024-10-25 22:04:08
wl-gammarelay-0.1.3-r4.apk
1.74MB
2025-12-05 00:49:03
wl-screenrec-0.1.7-r1.apk
537.66KB
2025-08-27 19:40:37
wl-screenrec-bash-completion-0.1.7-r1.apk
2.42KB
2025-08-27 19:40:37
wl-screenrec-doc-0.1.7-r1.apk
9.50KB
2025-08-27 19:40:37
wl-screenrec-fish-completion-0.1.7-r1.apk
3.22KB
2025-08-27 19:40:37
wl-screenrec-zsh-completion-0.1.7-r1.apk
3.65KB
2025-08-27 19:40:37
wlavu-0_git20201101-r1.apk
12.49KB
2024-10-25 22:04:08
wlclock-1.0.1-r0.apk
16.54KB
2024-10-25 22:04:08
wlclock-doc-1.0.1-r0.apk
3.29KB
2024-10-25 22:04:08
wlr-sunclock-1.2.1-r0.apk
44.12KB
2025-12-01 16:08:32
wlroots0.17-0.17.4-r3.apk
366.06KB
2025-08-13 11:04:12
wlroots0.17-dbg-0.17.4-r3.apk
1.45MB
2025-08-13 11:04:12
wlroots0.17-dev-0.17.4-r3.apk
77.42KB
2025-08-13 11:04:12
wlroots0.18-0.18.3-r0.apk
377.72KB
2025-11-29 01:54:25
wlroots0.18-dbg-0.18.3-r0.apk
1.51MB
2025-11-29 01:54:25
wlroots0.18-dev-0.18.3-r0.apk
82.64KB
2025-11-29 01:54:25
wlroots0.18-static-0.18.3-r0.apk
8.15MB
2025-11-29 01:54:25
wmctrl-1.07-r1.apk
14.03KB
2024-10-25 22:04:08
wmctrl-doc-1.07-r1.apk
5.10KB
2024-10-25 22:04:08
wmi-client-1.3.16-r5.apk
2.44MB
2024-10-25 22:04:08
wok-3.0.0-r6.apk
156.60KB
2024-10-25 22:04:08
wok-doc-3.0.0-r6.apk
3.67KB
2024-10-25 22:04:08
wok-lang-3.0.0-r6.apk
16.04KB
2024-10-25 22:04:08
wok-pyc-3.0.0-r6.apk
118.68KB
2024-10-25 22:04:08
wol-0.7.1-r3.apk
25.09KB
2024-10-25 22:04:08
wol-doc-0.7.1-r3.apk
5.52KB
2024-10-25 22:04:08
wol-lang-0.7.1-r3.apk
8.16KB
2024-10-25 22:04:08
wolfssh-1.4.17-r2.apk
132.62KB
2026-01-04 01:39:57
wolfssh-dev-1.4.17-r2.apk
170.67KB
2026-01-04 01:39:57
wpa_actiond-1.4-r7.apk
10.00KB
2024-10-25 22:04:09
wpa_actiond-openrc-1.4-r7.apk
2.22KB
2024-10-25 22:04:09
wput-0.6.2-r4.apk
38.61KB
2024-10-25 22:04:09
wput-doc-0.6.2-r4.apk
8.25KB
2024-10-25 22:04:09
wshowkeys-1.0-r0.apk
14.57KB
2024-10-25 22:04:09
wsmancli-2.8.0-r0.apk
17.74KB
2025-07-14 17:34:56
wsmancli-doc-2.8.0-r0.apk
3.67KB
2025-07-14 17:34:56
wtfutil-0.43.0-r18.apk
20.15MB
2025-12-05 00:49:03
x11docker-7.6.0-r1.apk
113.44KB
2024-10-25 22:04:09
x11docker-doc-7.6.0-r1.apk
9.41KB
2024-10-25 22:04:09
xa-2.4.1-r0.apk
74.14KB
2025-02-25 14:36:43
xa-doc-2.4.1-r0.apk
17.20KB
2025-02-25 14:36:43
xcape-1.2-r1.apk
6.58KB
2025-05-14 21:16:03
xcape-doc-1.2-r1.apk
3.07KB
2025-05-14 21:16:03
xcompmgr-1.1.10-r0.apk
14.35KB
2025-06-28 14:25:24
xcompmgr-doc-1.1.10-r0.apk
2.60KB
2025-06-28 14:25:24
xdg-desktop-portal-hyprland-1.3.11-r0.apk
337.04KB
2025-12-14 13:02:46
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk
2.44KB
2025-12-14 13:02:46
xdg-native-messaging-proxy-0.1.0-r0.apk
21.41KB
2025-11-27 16:22:16
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
1.77KB
2025-11-27 16:22:16
xdg-ninja-0.2.0.2-r0.apk
70.47KB
2024-10-25 22:04:09
xed-3.8.4-r0.apk
1.07MB
2025-10-23 10:55:20
xed-dev-3.8.4-r0.apk
13.66KB
2025-10-23 10:55:20
xed-doc-3.8.4-r0.apk
970.89KB
2025-10-23 10:55:20
xed-lang-3.8.4-r0.apk
2.09MB
2025-10-23 10:55:20
xed-python-3.8.4-r0.apk
24.49KB
2025-10-23 10:55:20
xemu-0.8.74-r0.apk
4.27MB
2025-06-19 03:46:46
xendmail-0.4.4-r0.apk
1.06MB
2025-08-06 11:51:48
xendmail-doc-0.4.4-r0.apk
2.54KB
2025-08-06 11:51:48
xf86-video-vboxvideo-1.0.0-r1.apk
16.96KB
2024-10-25 22:04:09
xf86-video-vboxvideo-doc-1.0.0-r1.apk
3.19KB
2024-10-25 22:04:09
xfce4-hamster-plugin-1.17-r0.apk
37.85KB
2024-10-25 22:04:09
xfce4-hamster-plugin-lang-1.17-r0.apk
5.07KB
2024-10-25 22:04:09
xfce4-mixer-4.18.1-r2.apk
86.58KB
2024-10-25 22:04:09
xfce4-mixer-doc-4.18.1-r2.apk
2.50KB
2024-10-25 22:04:09
xfce4-mixer-lang-4.18.1-r2.apk
58.76KB
2024-10-25 22:04:09
xfce4-panel-profiles-1.1.1-r1.apk
57.59KB
2025-11-24 14:53:39
xfce4-panel-profiles-doc-1.1.1-r1.apk
19.95KB
2025-11-24 14:53:39
xfce4-panel-profiles-lang-1.1.1-r1.apk
53.48KB
2025-11-24 14:53:39
xfd-1.1.4-r0.apk
13.68KB
2024-10-25 22:04:09
xfd-doc-1.1.4-r0.apk
4.93KB
2024-10-25 22:04:09
xfsdump-3.2.0-r0.apk
379.56KB
2025-08-30 23:48:04
xfsdump-doc-3.2.0-r0.apk
42.45KB
2025-08-30 23:48:04
xgalaga-2.1.1.0-r1.apk
310.72KB
2024-10-25 22:04:09
xgalaga-doc-2.1.1.0-r1.apk
2.55KB
2024-10-25 22:04:09
xiccd-0.4.1-r0.apk
13.40KB
2026-01-07 02:06:10
xiccd-doc-0.4.1-r0.apk
3.53KB
2026-01-07 02:06:10
xisxwayland-2-r2.apk
3.94KB
2026-01-10 00:29:00
xisxwayland-doc-2-r2.apk
2.02KB
2026-01-10 00:29:00
xkb-switch-1.8.5-r1.apk
18.27KB
2025-05-14 21:16:03
xkb-switch-doc-1.8.5-r1.apk
2.11KB
2025-05-14 21:16:03
xlhtml-0.5.1-r0.apk
11.10KB
2024-10-25 22:04:09
xlhtml-doc-0.5.1-r0.apk
2.51KB
2024-10-25 22:04:09
xload-1.1.4-r0.apk
6.95KB
2024-10-25 22:04:09
xload-doc-1.1.4-r0.apk
3.21KB
2024-10-25 22:04:09
xmag-1.0.8-r0.apk
16.45KB
2024-10-25 22:04:09
xmag-doc-1.0.8-r0.apk
4.74KB
2024-10-25 22:04:09
xml2rfc-3.28.1-r3.apk
351.88KB
2025-12-18 13:03:27
xml2rfc-pyc-3.28.1-r3.apk
407.50KB
2025-12-18 13:03:27
xmoto-0.6.3-r0.apk
1.97MB
2025-10-03 20:02:22
xmoto-data-0.6.3-r0.apk
36.69MB
2025-10-03 20:02:23
xmoto-doc-0.6.3-r0.apk
5.90KB
2025-10-03 20:02:23
xmoto-lang-0.6.3-r0.apk
532.06KB
2025-10-03 20:02:23
xmp-4.2.0-r0.apk
21.57KB
2024-10-25 22:04:09
xmp-doc-4.2.0-r0.apk
5.32KB
2024-10-25 22:04:09
xmpp-dns-0.2.4-r29.apk
1.99MB
2025-12-05 00:49:03
xmppipe-0.16.0-r1.apk
15.53KB
2024-10-25 22:04:09
xone-src-0.5.1-r0.apk
57.64KB
2025-12-22 18:40:28
xonsh-0.19.9-r0.apk
588.96KB
2025-10-31 17:15:00
xonsh-pyc-0.19.9-r0.apk
1.02MB
2025-10-31 17:15:00
xosview-1.24-r0.apk
132.61KB
2024-10-25 22:04:10
xosview-doc-1.24-r0.apk
12.52KB
2024-10-25 22:04:10
xpar-0.7-r0.apk
30.08KB
2025-09-27 17:33:58
xpar-doc-0.7-r0.apk
4.33KB
2025-09-27 17:33:58
xsane-0.999-r2.apk
1.50MB
2024-10-25 22:04:10
xsane-doc-0.999-r2.apk
4.31KB
2024-10-25 22:04:10
xsane-lang-0.999-r2.apk
440.33KB
2024-10-25 22:04:10
xsecurelock-1.9.0-r1.apk
62.53KB
2024-10-25 22:04:10
xsecurelock-doc-1.9.0-r1.apk
17.75KB
2024-10-25 22:04:10
xsoldier-1.8-r2.apk
66.67KB
2024-10-25 22:04:10
xsoldier-doc-1.8-r2.apk
2.62KB
2024-10-25 22:04:10
xtensor-0.27.0-r0.apk
269.72KB
2025-08-25 09:40:37
xtl-0.8.1-r0.apk
89.74KB
2025-10-27 15:49:44
xva-img-1.5-r0.apk
16.51KB
2024-10-25 22:04:10
xvidtune-1.0.4-r0.apk
17.02KB
2024-10-25 22:04:10
xvidtune-doc-1.0.4-r0.apk
4.17KB
2024-10-25 22:04:10
xvile-9.8z_p1-r2.apk
807.95KB
2025-10-06 14:28:57
xwayland-satellite-0.7-r0.apk
969.27KB
2025-08-31 01:13:11
yaegi-0.16.1-r13.apk
7.64MB
2025-12-05 00:49:03
yamkix-0.14.0-r0.apk
17.32KB
2025-12-22 21:55:23
yamkix-pyc-0.14.0-r0.apk
20.19KB
2025-12-22 21:55:23
yaml-language-server-1.19.2-r0.apk
666.05KB
2025-10-09 10:23:51
yaml-language-server-doc-1.19.2-r0.apk
2.28KB
2025-10-09 10:23:51
yamldiff-0.3.0-r3.apk
1.74MB
2025-12-05 00:49:03
yamldiff-doc-0.3.0-r3.apk
2.25KB
2025-12-05 00:49:03
yarn-berry-4.9.1-r0.apk
1.01MB
2025-04-16 01:37:37
yaru-common-25.10.3-r0.apk
1.27KB
2026-01-06 07:46:33
yaru-gtksourceview-25.10.3-r0.apk
4.99KB
2026-01-06 07:46:33
yaru-icon-theme-25.10.3-r0.apk
34.77MB
2026-01-06 07:46:33
yaru-icon-theme-bark-25.10.3-r0.apk
1.13MB
2026-01-06 07:46:33
yaru-icon-theme-blue-25.10.3-r0.apk
1.17MB
2026-01-06 07:46:33
yaru-icon-theme-magenta-25.10.3-r0.apk
1.15MB
2026-01-06 07:46:33
yaru-icon-theme-mate-25.10.3-r0.apk
1.33MB
2026-01-06 07:46:33
yaru-icon-theme-olive-25.10.3-r0.apk
1.15MB
2026-01-06 07:46:33
yaru-icon-theme-prussiangreen-25.10.3-r0.apk
1.16MB
2026-01-06 07:46:33
yaru-icon-theme-purple-25.10.3-r0.apk
1.19MB
2026-01-06 07:46:34
yaru-icon-theme-red-25.10.3-r0.apk
1.20MB
2026-01-06 07:46:34
yaru-icon-theme-sage-25.10.3-r0.apk
1.18MB
2026-01-06 07:46:34
yaru-icon-theme-viridian-25.10.3-r0.apk
1.16MB
2026-01-06 07:46:34
yaru-icon-theme-wartybrown-25.10.3-r0.apk
1.18MB
2026-01-06 07:46:34
yaru-icon-theme-yellow-25.10.3-r0.apk
1.17MB
2026-01-06 07:46:34
yaru-schemas-25.10.3-r0.apk
1.85KB
2026-01-06 07:46:34
yaru-shell-25.10.3-r0.apk
232.19KB
2026-01-06 07:46:34
yaru-sounds-25.10.3-r0.apk
1.20MB
2026-01-06 07:46:34
yaru-theme-25.10.3-r0.apk
840.42KB
2026-01-06 07:46:34
yaru-theme-bark-25.10.3-r0.apk
762.79KB
2026-01-06 07:46:34
yaru-theme-blue-25.10.3-r0.apk
766.05KB
2026-01-06 07:46:34
yaru-theme-hdpi-25.10.3-r0.apk
73.28KB
2026-01-06 07:46:34
yaru-theme-magenta-25.10.3-r0.apk
761.87KB
2026-01-06 07:46:34
yaru-theme-mate-25.10.3-r0.apk
771.98KB
2026-01-06 07:46:34
yaru-theme-olive-25.10.3-r0.apk
759.22KB
2026-01-06 07:46:34
yaru-theme-prussiangreen-25.10.3-r0.apk
759.72KB
2026-01-06 07:46:34
yaru-theme-purple-25.10.3-r0.apk
756.96KB
2026-01-06 07:46:34
yaru-theme-red-25.10.3-r0.apk
759.91KB
2026-01-06 07:46:34
yaru-theme-sage-25.10.3-r0.apk
763.20KB
2026-01-06 07:46:34
yaru-theme-viridian-25.10.3-r0.apk
760.28KB
2026-01-06 07:46:34
yaru-theme-wartybrown-25.10.3-r0.apk
764.28KB
2026-01-06 07:46:34
yaru-theme-yellow-25.10.3-r0.apk
766.49KB
2026-01-06 07:46:34
yass-2.5.0-r0.apk
14.09MB
2024-10-25 22:04:11
ydcv-0.7-r8.apk
20.03KB
2024-10-25 22:04:11
ydcv-pyc-0.7-r8.apk
10.57KB
2024-10-25 22:04:11
ydcv-zsh-completion-0.7-r8.apk
2.15KB
2024-10-25 22:04:11
yices2-2.6.5-r0.apk
2.23MB
2025-03-03 01:05:22
yices2-dev-2.6.5-r0.apk
41.39KB
2025-03-03 01:05:22
yices2-libs-2.6.5-r0.apk
840.24KB
2025-03-03 01:05:22
yoe-kiosk-browser-0_git20231118-r0.apk
9.04KB
2024-10-25 22:04:11
yoshimi-2.3.3.3-r0.apk
6.11MB
2025-03-14 00:33:15
yoshimi-doc-2.3.3.3-r0.apk
4.52MB
2025-03-14 00:33:15
yosys-0.57-r0.apk
24.19MB
2025-10-25 00:50:15
yosys-dev-0.57-r0.apk
277.29KB
2025-10-25 00:50:15
youki-0.5.7-r0.apk
1.68MB
2026-01-05 22:12:00
youki-dbg-0.5.7-r0.apk
8.17MB
2026-01-05 22:12:01
youtube-viewer-3.11.6-r0.apk
83.84KB
2025-06-09 08:05:44
youtube-viewer-doc-3.11.6-r0.apk
40.62KB
2025-06-09 08:05:44
youtube-viewer-gtk-3.11.6-r0.apk
171.60KB
2025-06-09 08:05:44
ytmdl-2024.08.15.1-r1.apk
50.17KB
2025-05-14 21:16:03
ytmdl-bash-completion-2024.08.15.1-r1.apk
2.27KB
2025-05-14 21:16:03
ytmdl-pyc-2024.08.15.1-r1.apk
78.11KB
2025-05-14 21:16:03
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2.11KB
2025-05-14 21:16:03
ytt-0.52.1-r2.apk
4.80MB
2025-12-05 00:49:03
yubikey-agent-0.1.6-r17.apk
1.97MB
2025-12-05 00:49:03
z-1.12-r0.apk
4.61KB
2024-10-25 22:04:12
z-doc-1.12-r0.apk
3.91KB
2024-10-25 22:04:12
zafiro-icon-theme-1.3-r0.apk
19.23MB
2024-10-25 22:04:12
zapzap-6.0.1.8-r0.apk
108.82KB
2025-04-14 02:48:01
zapzap-pyc-6.0.1.8-r0.apk
125.94KB
2025-04-14 02:48:01
zarchive-0.1.2-r2.apk
15.51KB
2024-10-25 22:04:16
zarchive-dev-0.1.2-r2.apk
6.76KB
2024-10-25 22:04:16
zarchive-libs-0.1.2-r2.apk
23.23KB
2024-10-25 22:04:16
zarf-0.32.1-r15.apk
56.15MB
2025-12-05 00:49:04
zed-0.138.4-r5.apk
33.17MB
2025-03-14 01:56:09
zfs-src-2.4.0-r0.apk
32.98MB
2025-12-19 10:50:31
zfsbootmenu-2.3.0-r1.apk
128.25KB
2024-10-25 22:04:18
zfsbootmenu-doc-2.3.0-r1.apk
15.79KB
2024-10-25 22:04:18
zile-2.6.2-r1.apk
119.16KB
2024-10-25 22:04:18
zile-doc-2.6.2-r1.apk
15.81KB
2024-10-25 22:04:18
zita-njbridge-0.4.8-r1.apk
28.52KB
2024-10-25 22:04:18
zita-njbridge-doc-0.4.8-r1.apk
5.24KB
2024-10-25 22:04:18
zita-resampler-1.11.2-r0.apk
18.29KB
2025-04-15 00:16:09
zita-resampler-dev-1.11.2-r0.apk
3.26KB
2025-04-15 00:16:09
zita-resampler-doc-1.11.2-r0.apk
4.04KB
2025-04-15 00:16:09
zmx-0.2.0-r0.apk
598.89KB
2026-01-02 01:39:50
zmx-doc-0.2.0-r0.apk
2.22KB
2026-01-02 01:39:50
zot-2.1.8-r2.apk
78.47MB
2025-12-05 00:49:05
zot-cli-2.1.8-r2.apk
10.71MB
2025-12-05 00:49:05
zot-cli-bash-completion-2.1.8-r2.apk
6.10KB
2025-12-05 00:49:05
zot-cli-fish-completion-2.1.8-r2.apk
4.31KB
2025-12-05 00:49:05
zot-cli-zsh-completion-2.1.8-r2.apk
4.04KB
2025-12-05 00:49:05
zot-doc-2.1.8-r2.apk
9.40KB
2025-12-05 00:49:05
zot-exporter-2.1.8-r2.apk
4.13MB
2025-12-05 00:49:05
zot-openrc-2.1.8-r2.apk
2.00KB
2025-12-05 00:49:05
zpaq-7.15-r0.apk
192.16KB
2025-10-09 10:23:52
zpaq-doc-7.15-r0.apk
16.27KB
2025-10-09 10:23:52
zrepl-0.6.1-r16.apk
7.06MB
2025-12-05 00:49:05
zrepl-bash-completion-0.6.1-r16.apk
4.47KB
2025-12-05 00:49:05
zrepl-openrc-0.6.1-r16.apk
1.72KB
2025-12-05 00:49:05
zrepl-zsh-completion-0.6.1-r16.apk
1.95KB
2025-12-05 00:49:05
zsh-histdb-skim-0.8.6-r0.apk
748.66KB
2024-10-25 22:04:19
zsh-manydots-magic-0_git20230607-r1.apk
2.87KB
2024-10-25 22:04:19
zulip-desktop-5.12.2-r0.apk
2.37MB
2025-11-21 12:00:41
zutty-0.16-r0.apk
148.89KB
2025-01-12 23:00:41
zutty-doc-0.16-r0.apk
66.56KB
2025-01-12 23:00:41
zvbi-0.2.44-r0.apk
170.42KB
2025-03-12 03:07:23
zvbi-doc-0.2.44-r0.apk
21.05KB
2025-03-12 03:07:23
zycore-1.5.0-r1.apk
20.55KB
2025-07-11 07:50:37
zycore-dev-1.5.0-r1.apk
37.40KB
2025-07-11 07:50:37
zycore-doc-1.5.0-r1.apk
432.65KB
2025-07-11 07:50:37
zydis-4.1.0-r0.apk
205.96KB
2024-10-25 22:04:19
zydis-dev-4.1.0-r0.apk
60.84KB
2024-10-25 22:04:19
zydis-doc-4.1.0-r0.apk
1.58MB
2024-10-25 22:04:19
10" >