Home
/
alpine
/
edge
/
testing
/
armhf
File Name
Size
Date
..
—
—
3proxy-0.9.4-r1.apk
319.90KB
2024-10-25 23:05:55
3proxy-doc-0.9.4-r1.apk
24.93KB
2024-10-25 23:05:55
3proxy-openrc-0.9.4-r1.apk
1.67KB
2024-10-25 23:05:55
66-0.8.0.1-r0.apk
299.72KB
2025-01-12 14:35:38
66-dev-0.8.0.1-r0.apk
479.28KB
2025-01-12 14:35:38
66-doc-0.8.0.1-r0.apk
167.76KB
2025-01-12 14:35:38
66-init-0.8.0.1-r0.apk
1.48KB
2025-01-12 14:35:38
66-tools-0.1.1.0-r0.apk
60.68KB
2025-01-12 14:35:38
66-tools-dev-0.1.1.0-r0.apk
1.78KB
2025-01-12 14:35:38
66-tools-doc-0.1.1.0-r0.apk
39.02KB
2025-01-12 14:35:38
66-tools-nsrules-0.1.1.0-r0.apk
2.81KB
2025-01-12 14:35:38
APKINDEX.tar.gz
849.65KB
2025-01-13 01:02:16
a2jmidid-9-r3.apk
26.74KB
2024-10-25 23:05:55
a2jmidid-doc-9-r3.apk
4.19KB
2024-10-25 23:05:55
abc-0_git20240102-r0.apk
4.82MB
2024-10-25 23:05:55
abi-dumper-1.2-r0.apk
35.08KB
2025-01-11 00:46:45
ace-of-penguins-1.4-r3.apk
155.06KB
2024-10-25 23:05:55
ace-of-penguins-doc-1.4-r3.apk
48.58KB
2024-10-25 23:05:55
acmetool-0.2.2-r8.apk
4.00MB
2024-10-25 23:05:55
acmetool-doc-0.2.2-r8.apk
47.34KB
2024-10-25 23:05:55
adguardhome-0.107.55-r0.apk
10.07MB
2024-12-12 21:34:47
adguardhome-openrc-0.107.55-r0.apk
2.10KB
2024-12-12 21:34:47
adjtimex-1.29-r0.apk
20.35KB
2024-10-25 23:05:55
adjtimex-doc-1.29-r0.apk
7.09KB
2024-10-25 23:05:55
admesh-0.98.5-r0.apk
25.22KB
2024-10-25 23:05:55
admesh-dev-0.98.5-r0.apk
4.04KB
2024-10-25 23:05:55
admesh-doc-0.98.5-r0.apk
23.43KB
2024-10-25 23:05:55
advancescan-1.18-r1.apk
239.92KB
2024-10-25 23:05:55
advancescan-doc-1.18-r1.apk
7.27KB
2024-10-25 23:05:55
afetch-2.2.0-r1.apk
9.43KB
2024-10-25 23:05:55
afetch-doc-2.2.0-r1.apk
13.58KB
2024-10-25 23:05:55
agate-3.3.8-r0.apk
758.04KB
2024-10-25 23:05:55
agate-openrc-3.3.8-r0.apk
1.99KB
2024-10-25 23:05:55
agrep-0.8.0-r2.apk
8.35KB
2024-10-25 23:05:55
agrep-doc-0.8.0-r2.apk
4.11KB
2024-10-25 23:05:55
aide-0.18.8-r0.apk
79.08KB
2024-10-25 23:05:55
aide-doc-0.18.8-r0.apk
13.78KB
2024-10-25 23:05:55
alarmwakeup-0.2.1-r0.apk
6.54KB
2024-10-25 23:05:55
alarmwakeup-dbg-0.2.1-r0.apk
18.45KB
2024-10-25 23:05:55
alarmwakeup-dev-0.2.1-r0.apk
2.53KB
2024-10-25 23:05:55
alarmwakeup-libs-0.2.1-r0.apk
4.00KB
2024-10-25 23:05:55
alarmwakeup-utils-0.2.1-r0.apk
3.84KB
2024-10-25 23:05:55
alpine-lift-0.2.0-r18.apk
3.19MB
2024-10-25 23:05:55
alps-0_git20230807-r7.apk
5.16MB
2024-11-04 22:02:00
alps-openrc-0_git20230807-r7.apk
1.96KB
2024-11-04 22:02:00
alttab-1.7.1-r0.apk
37.42KB
2024-10-25 23:05:56
alttab-doc-1.7.1-r0.apk
10.30KB
2024-10-25 23:05:56
amber-0.3.3-r0.apk
414.00KB
2024-10-25 23:05:56
amdgpu-fan-0.1.0-r5.apk
14.01KB
2024-10-25 23:05:56
amdgpu-fan-pyc-0.1.0-r5.apk
9.62KB
2024-10-25 23:05:56
amiitool-2-r2.apk
6.67KB
2024-10-25 23:05:56
ampy-1.1.0-r5.apk
15.80KB
2024-10-25 23:05:56
ampy-doc-1.1.0-r5.apk
3.43KB
2024-10-25 23:05:56
ampy-pyc-1.1.0-r5.apk
19.63KB
2024-10-25 23:05:56
amule-2.3.3-r13.apk
4.05MB
2024-10-25 23:05:56
amule-doc-2.3.3-r13.apk
281.44KB
2024-10-25 23:05:56
amule-lang-2.3.3-r13.apk
1.57MB
2024-10-25 23:05:56
anarch-1.0-r1.apk
95.38KB
2024-10-25 23:05:56
anarch-doc-1.0-r1.apk
17.98KB
2024-10-25 23:05:56
anari-sdk-0.7.2-r0.apk
284.83KB
2024-10-25 23:05:56
anari-sdk-dev-0.7.2-r0.apk
58.57KB
2024-10-25 23:05:56
anari-sdk-static-0.7.2-r0.apk
202.44KB
2024-10-25 23:05:56
android-apkeep-0.17.0-r0.apk
1.93MB
2024-10-25 23:05:56
android-file-transfer-4.3-r0.apk
179.19KB
2024-10-25 23:05:56
android-file-transfer-cli-4.3-r0.apk
104.14KB
2024-10-25 23:05:56
android-file-transfer-dev-4.3-r0.apk
1.52KB
2024-10-25 23:05:56
android-file-transfer-libs-4.3-r0.apk
122.29KB
2024-10-25 23:05:56
angband-4.2.5-r0.apk
22.63MB
2024-10-25 23:05:56
ansible-bender-0.10.1-r2.apk
36.30KB
2024-10-25 23:05:56
ansible-bender-doc-0.10.1-r2.apk
10.09KB
2024-10-25 23:05:56
ansible-bender-pyc-0.10.1-r2.apk
65.01KB
2024-10-25 23:05:56
ansiweather-1.19.0-r1.apk
4.70KB
2024-10-25 23:05:56
ansiweather-doc-1.19.0-r1.apk
3.00KB
2024-10-25 23:05:56
antibody-6.1.1-r23.apk
1.62MB
2024-10-25 23:05:56
antimicrox-3.4.0-r0.apk
1.60MB
2024-10-25 23:05:56
antimicrox-doc-3.4.0-r0.apk
23.08KB
2024-10-25 23:05:56
apache-mod-auth-gssapi-1.6.5-r1.apk
56.22KB
2024-10-25 23:05:56
apache-mod-auth-openidc-2.4.16.4-r0.apk
219.83KB
2024-10-25 23:05:56
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk
4.82KB
2024-10-25 23:05:56
apache-mod-auth-openidc-static-2.4.16.4-r0.apk
282.12KB
2024-10-25 23:05:56
apache2-mod-authnz-external-3.3.3-r0.apk
7.20KB
2024-10-25 23:05:56
apache2-mod-authnz-external-doc-3.3.3-r0.apk
10.05KB
2024-10-25 23:05:56
apache2-mod-perl-2.0.13-r1.apk
645.71KB
2024-10-25 23:05:56
apache2-mod-perl-dbg-2.0.13-r1.apk
76.75KB
2024-10-25 23:05:56
apache2-mod-perl-dev-2.0.13-r1.apk
39.78KB
2024-10-25 23:05:56
apache2-mod-perl-doc-2.0.13-r1.apk
302.75KB
2024-10-25 23:05:56
apache2-mod-realdoc-1-r1.apk
4.68KB
2024-10-25 23:05:56
apk-autoupdate-0_git20210421-r1.apk
13.02KB
2024-11-20 00:34:15
apk-autoupdate-doc-0_git20210421-r1.apk
6.95KB
2024-11-20 00:34:15
apk-readme-0.1-r1.apk
1.28KB
2024-10-25 23:05:56
apk-snap-3.1.1-r0.apk
6.62KB
2024-10-25 23:05:56
apk-snap-doc-3.1.1-r0.apk
19.93KB
2024-10-25 23:05:56
apk-tools3-3.0.0_pre3_git20241029-r0.apk
48.11KB
2024-10-30 07:03:02
apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk
568.65KB
2024-10-30 07:03:02
apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk
22.25KB
2024-10-30 07:03:02
apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk
37.60KB
2024-10-30 07:03:02
apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk
111.99KB
2024-10-30 07:03:02
apk-tools3-static-3.0.0_pre3_git20241029-r0.apk
1.94MB
2024-10-30 07:03:02
aports-glmr-0.2-r23.apk
2.20MB
2024-10-25 23:05:56
appcenter-8.0.0-r0.apk
393.23KB
2024-11-12 23:55:19
appcenter-lang-8.0.0-r0.apk
258.31KB
2024-11-12 23:55:19
aprilsh-0.7.12-r0.apk
1.62KB
2024-10-25 23:05:56
aprilsh-client-0.7.12-r0.apk
2.86MB
2024-10-25 23:05:56
aprilsh-doc-0.7.12-r0.apk
14.67KB
2024-10-25 23:05:56
aprilsh-openrc-0.7.12-r0.apk
1.81KB
2024-10-25 23:05:56
aprilsh-server-0.7.12-r0.apk
2.21MB
2024-10-25 23:05:56
apt-dater-1.0.4-r4.apk
56.39KB
2024-10-25 23:05:56
apt-dater-doc-1.0.4-r4.apk
9.87KB
2024-10-25 23:05:56
apt-dater-lang-1.0.4-r4.apk
13.13KB
2024-10-25 23:05:56
apt-mirror-0.5.4-r0.apk
9.45KB
2024-10-25 23:05:56
apt-mirror-doc-0.5.4-r0.apk
4.63KB
2024-10-25 23:05:56
aptdec-1.8.0-r0.apk
85.36KB
2024-10-25 23:05:56
aptdec-dev-1.8.0-r0.apk
3.51KB
2024-10-25 23:05:56
aptdec-libs-1.8.0-r0.apk
13.93KB
2024-10-25 23:05:56
apulse-0.1.13-r2.apk
35.67KB
2024-10-25 23:05:56
apulse-doc-0.1.13-r2.apk
2.76KB
2024-10-25 23:05:56
aqemu-0.9.4-r3.apk
1.58MB
2024-10-25 23:05:56
aqemu-doc-0.9.4-r3.apk
7.52KB
2024-10-25 23:05:56
arc-20221218-r0.apk
1.75KB
2024-10-25 23:05:56
arc-cinnamon-20221218-r0.apk
68.03KB
2024-10-25 23:05:56
arc-dark-20221218-r0.apk
1.75KB
2024-10-25 23:05:56
arc-dark-cinnamon-20221218-r0.apk
68.43KB
2024-10-25 23:05:56
arc-dark-gnome-20221218-r0.apk
27.08KB
2024-10-25 23:05:56
arc-dark-gtk2-20221218-r0.apk
38.40KB
2024-10-25 23:05:56
arc-dark-gtk3-20221218-r0.apk
93.27KB
2024-10-25 23:05:56
arc-dark-gtk4-20221218-r0.apk
86.24KB
2024-10-25 23:05:56
arc-dark-metacity-20221218-r0.apk
17.47KB
2024-10-25 23:05:56
arc-dark-xfwm-20221218-r0.apk
7.87KB
2024-10-25 23:05:56
arc-darker-20221218-r0.apk
1.76KB
2024-10-25 23:05:56
arc-darker-gtk2-20221218-r0.apk
38.50KB
2024-10-25 23:05:56
arc-darker-gtk3-20221218-r0.apk
123.75KB
2024-10-25 23:05:56
arc-darker-gtk4-20221218-r0.apk
110.15KB
2024-10-25 23:05:56
arc-darker-metacity-20221218-r0.apk
17.48KB
2024-10-25 23:05:56
arc-darker-xfwm-20221218-r0.apk
7.87KB
2024-10-25 23:05:56
arc-gnome-20221218-r0.apk
28.64KB
2024-10-25 23:05:56
arc-gtk2-20221218-r0.apk
37.53KB
2024-10-25 23:05:56
arc-gtk3-20221218-r0.apk
125.87KB
2024-10-25 23:05:56
arc-gtk4-20221218-r0.apk
113.52KB
2024-10-25 23:05:56
arc-icon-theme-20161122-r0.apk
4.36MB
2024-10-25 23:05:57
arc-lighter-20221218-r0.apk
1.76KB
2024-10-25 23:05:57
arc-lighter-gtk2-20221218-r0.apk
37.54KB
2024-10-25 23:05:57
arc-lighter-gtk3-20221218-r0.apk
124.58KB
2024-10-25 23:05:57
arc-lighter-gtk4-20221218-r0.apk
112.82KB
2024-10-25 23:05:57
arc-lighter-metacity-20221218-r0.apk
17.29KB
2024-10-25 23:05:57
arc-lighter-xfwm-20221218-r0.apk
7.72KB
2024-10-25 23:05:57
arc-metacity-20221218-r0.apk
17.25KB
2024-10-25 23:05:57
arc-theme-20221218-r0.apk
1.45KB
2024-10-25 23:05:57
arc-xfwm-20221218-r0.apk
7.70KB
2024-10-25 23:05:57
armagetronad-0.2.9.1.1-r0.apk
1.55MB
2024-10-25 23:05:57
armagetronad-doc-0.2.9.1.1-r0.apk
91.94KB
2024-10-25 23:05:57
aspell-es-1.11-r0.apk
533.01KB
2024-10-25 23:05:57
asymptote-2.91-r0.apk
1.39MB
2024-10-25 23:05:57
asymptote-doc-2.91-r0.apk
2.83MB
2024-10-25 23:05:57
atac-0.18.1-r0.apk
4.82MB
2024-11-25 23:43:57
atomicparsley-20240608-r0.apk
115.30KB
2024-10-25 23:05:57
atool-0.39.0-r4.apk
17.73KB
2024-10-25 23:05:57
atool-bash-completion-0.39.0-r4.apk
2.04KB
2024-10-25 23:05:57
atool-doc-0.39.0-r4.apk
9.61KB
2024-10-25 23:05:57
atools-go-0.2.1-r1.apk
1.00MB
2024-12-27 20:02:43
atools-go-doc-0.2.1-r1.apk
2.24KB
2024-12-27 20:02:43
ats2-0.4.2-r0.apk
1.66MB
2024-10-25 23:05:57
aufs-util-20161219-r3.apk
191.11KB
2024-10-25 23:05:57
aufs-util-dev-20161219-r3.apk
1.46KB
2024-10-25 23:05:57
aufs-util-doc-20161219-r3.apk
33.89KB
2024-10-25 23:05:57
authenticator-rs-0.7.5-r0.apk
1.98MB
2024-10-25 23:05:57
authenticator-rs-lang-0.7.5-r0.apk
3.73KB
2024-10-25 23:05:57
autoconf-policy-0.1-r0.apk
5.49KB
2024-10-25 23:05:57
autoremove-torrents-1.5.5-r0.apk
35.44KB
2024-10-25 23:05:57
autoremove-torrents-doc-1.5.5-r0.apk
11.58KB
2024-10-25 23:05:57
autoremove-torrents-pyc-1.5.5-r0.apk
53.79KB
2024-10-25 23:05:57
autorestic-1.8.3-r0.apk
3.44MB
2024-10-25 23:05:57
autotrash-0.4.7-r0.apk
22.81KB
2024-10-25 23:05:57
autotrash-pyc-0.4.7-r0.apk
14.06KB
2024-10-25 23:05:57
avahi2dns-0.0.1_git20240102-r2.apk
2.07MB
2024-10-25 23:05:57
avahi2dns-openrc-0.0.1_git20240102-r2.apk
1.80KB
2024-10-25 23:05:57
avarice-2.14-r4.apk
80.22KB
2024-10-25 23:05:57
avarice-doc-2.14-r4.apk
9.45KB
2024-10-25 23:05:57
avra-1.4.2-r0.apk
37.68KB
2024-10-25 23:05:57
avra-dev-1.4.2-r0.apk
254.72KB
2024-10-25 23:05:57
azote-1.14.0-r0.apk
7.61MB
2024-12-14 22:38:03
azote-pyc-1.14.0-r0.apk
98.01KB
2024-12-14 22:38:03
azpainter-3.0.9-r0.apk
703.79KB
2024-10-25 23:05:57
azpainter-doc-3.0.9-r0.apk
42.00KB
2024-10-25 23:05:57
azure-iot-sdk-c-static-1.11.0-r0.apk
777.03KB
2024-10-25 23:05:57
b2-tools-4.2.0-r0.apk
72.26KB
2025-01-12 15:27:42
b2-tools-pyc-4.2.0-r0.apk
135.45KB
2025-01-12 15:27:42
b2sum-20190729-r2.apk
15.90KB
2024-10-25 23:05:57
b2sum-doc-20190729-r2.apk
2.74KB
2024-10-25 23:05:57
backup-manager-0.7.15-r1.apk
55.31KB
2024-10-25 23:05:57
baikal-0.10.1-r0.apk
1.25MB
2024-11-10 18:49:17
baikal-mysql-0.10.1-r0.apk
1.23KB
2024-11-10 18:49:17
baikal-pgsql-0.10.1-r0.apk
1.23KB
2024-11-10 18:49:17
baikal-sqlite-0.10.1-r0.apk
1.38KB
2024-11-10 18:49:17
bake-2.5.1-r0.apk
109.42KB
2024-10-25 23:05:57
bakelite-0.4.2-r0.apk
38.50KB
2024-10-25 23:05:57
bananui-2.0.0-r0.apk
51.53KB
2024-10-25 23:05:57
bananui-clock-0.1.0-r0.apk
6.85KB
2024-10-25 23:05:57
bananui-daemons-0.1.0-r0.apk
38.71KB
2024-10-25 23:05:57
bananui-dbg-2.0.0-r0.apk
170.93KB
2024-10-25 23:05:57
bananui-demos-2.0.0-r0.apk
8.17KB
2024-10-25 23:05:57
bananui-dev-2.0.0-r0.apk
82.50KB
2024-10-25 23:05:57
bananui-shell-0.2.0-r0.apk
92.46KB
2024-10-25 23:05:57
bankstown-lv2-1.1.0-r0.apk
168.61KB
2024-10-25 23:05:57
barman-3.12.1-r0.apk
340.79KB
2024-12-31 18:20:40
barman-bash-completion-3.12.1-r0.apk
1.58KB
2024-12-31 18:20:40
barman-doc-3.12.1-r0.apk
76.88KB
2024-12-31 18:20:40
barman-pyc-3.12.1-r0.apk
543.13KB
2024-12-31 18:20:40
barnyard2-2.1.14_git20160413-r1.apk
122.22KB
2024-10-25 23:05:57
barnyard2-openrc-2.1.14_git20160413-r1.apk
2.76KB
2024-10-25 23:05:57
barrier-2.4.0-r1.apk
848.31KB
2024-10-25 23:05:57
barrier-doc-2.4.0-r1.apk
13.20KB
2024-10-25 23:05:57
bartib-1.0.1-r1.apk
359.78KB
2024-10-25 23:05:57
base64c-0.2.1-r0.apk
4.24KB
2024-10-25 23:05:57
base64c-dev-0.2.1-r0.apk
5.09KB
2024-10-25 23:05:57
batmon-0.0.1-r0.apk
444.09KB
2024-10-25 23:05:57
bcg729-1.1.1-r0.apk
35.39KB
2024-10-25 23:05:57
bcg729-dev-1.1.1-r0.apk
3.47KB
2024-10-25 23:05:57
bchunk-1.2.2-r3.apk
7.35KB
2024-10-25 23:05:57
bchunk-doc-1.2.2-r3.apk
2.99KB
2024-10-25 23:05:57
bdfr-2.6.2-r1.apk
130.93KB
2024-10-25 23:05:57
beard-0.4-r0.apk
3.14KB
2024-10-25 23:05:57
beard-doc-0.4-r0.apk
2.48KB
2024-10-25 23:05:57
bees-0.10-r2.apk
303.18KB
2024-10-25 23:05:57
bees-openrc-0.10-r2.apk
1.95KB
2024-10-25 23:05:57
belcard-5.3.83-r0.apk
12.24KB
2024-10-25 23:05:57
belcard-dev-5.3.83-r0.apk
12.18KB
2024-10-25 23:05:57
belcard-libs-5.3.83-r0.apk
193.37KB
2024-10-25 23:05:57
belle-sip-5.3.83-r0.apk
629.96KB
2024-10-25 23:05:57
belle-sip-dev-5.3.83-r0.apk
55.17KB
2024-10-25 23:05:57
belr-5.3.83-r0.apk
102.29KB
2024-10-25 23:05:57
belr-dev-5.3.83-r0.apk
14.88KB
2024-10-25 23:05:57
berry-lang-1.1.0-r0.apk
96.88KB
2024-10-25 23:05:57
bestline-0.0_git20211108-r0.apk
20.08KB
2024-10-25 23:05:57
bestline-dev-0.0_git20211108-r0.apk
1.68KB
2024-10-25 23:05:57
bestline-doc-0.0_git20211108-r0.apk
17.61MB
2024-10-25 23:05:57
bgpq4-1.15-r0.apk
33.80KB
2024-10-25 23:05:58
bgpq4-doc-1.15-r0.apk
6.34KB
2024-10-25 23:05:58
bgs-0.8-r1.apk
5.81KB
2024-10-25 23:05:58
bgs-doc-0.8-r1.apk
2.30KB
2024-10-25 23:05:58
bindfs-1.17.7-r0.apk
21.55KB
2025-01-02 17:13:26
bindfs-doc-1.17.7-r0.apk
9.01KB
2025-01-02 17:13:26
binwalk-2.4.3-r0.apk
145.31KB
2024-12-01 19:24:01
binwalk-pyc-2.4.3-r0.apk
167.19KB
2024-12-01 19:24:01
biometryd-0.3.1-r6.apk
282.01KB
2024-11-12 21:03:13
biometryd-dev-0.3.1-r6.apk
13.28KB
2024-11-12 21:03:13
bird3-3.0.0-r0.apk
564.62KB
2024-12-20 19:10:18
bird3-dbg-3.0.0-r0.apk
2.16MB
2024-12-20 19:10:18
bird3-openrc-3.0.0-r0.apk
2.30KB
2024-12-20 19:10:18
birdtray-1.9.0-r1.apk
394.86KB
2024-10-25 23:05:58
bitlbee-facebook-1.2.2-r0.apk
53.70KB
2024-10-25 23:05:58
bitlbee-mastodon-1.4.5-r0.apk
43.30KB
2024-10-25 23:05:58
bitritter-0.1.1-r0.apk
2.12MB
2024-10-25 23:05:58
bkt-0.8.0-r0.apk
361.61KB
2024-10-25 23:05:58
bkt-doc-0.8.0-r0.apk
7.25KB
2024-10-25 23:05:58
blackbox-1.20220610-r1.apk
15.71KB
2024-10-25 23:05:58
blip-0.10-r0.apk
15.29KB
2024-10-25 23:05:58
blip-doc-0.10-r0.apk
29.63KB
2024-10-25 23:05:58
bliss-0.77-r1.apk
60.93KB
2024-10-25 23:05:58
bliss-dev-0.77-r1.apk
99.27KB
2024-10-25 23:05:58
bobcat-4.09.00-r0.apk
680.60KB
2024-10-25 23:05:58
bobcat-dev-4.09.00-r0.apk
727.48KB
2024-10-25 23:05:58
bobcat-doc-4.09.00-r0.apk
345.40KB
2024-10-25 23:05:58
bochs-2.8-r0.apk
938.01KB
2024-10-25 23:05:58
bochs-doc-2.8-r0.apk
139.48KB
2024-10-25 23:05:58
boinc-7.24.3-r0.apk
1.53MB
2024-10-25 23:05:58
boinc-dev-7.24.3-r0.apk
581.73KB
2024-10-25 23:05:58
boinc-doc-7.24.3-r0.apk
7.97KB
2024-10-25 23:05:58
boinc-gui-7.24.3-r0.apk
0.98MB
2024-10-25 23:05:58
boinc-lang-7.24.3-r0.apk
876.93KB
2024-10-25 23:05:58
boinc-libs-7.24.3-r0.apk
199.69KB
2024-10-25 23:05:58
boinc-screensaver-7.24.3-r0.apk
119.21KB
2024-10-25 23:05:58
bomctl-0.1.9-r1.apk
8.50MB
2024-10-25 23:05:58
bomctl-bash-completion-0.1.9-r1.apk
5.13KB
2024-10-25 23:05:58
bomctl-fish-completion-0.1.9-r1.apk
4.34KB
2024-10-25 23:05:58
bomctl-zsh-completion-0.1.9-r1.apk
4.06KB
2024-10-25 23:05:58
bonzomatic-20230615-r0.apk
619.78KB
2024-10-25 23:05:58
bootchart2-0.14.9-r0.apk
136.42KB
2025-01-03 12:13:28
bootinfo-0.1.0-r4.apk
19.43KB
2024-10-25 23:05:58
bootinfo-pyc-0.1.0-r4.apk
8.25KB
2024-10-25 23:05:58
bootloose-0.7.1-r6.apk
2.01MB
2024-10-25 23:05:58
bootterm-0.5-r0.apk
17.78KB
2024-10-25 23:05:58
bootterm-dbg-0.5-r0.apk
2.30KB
2024-10-25 23:05:58
bore-0.5.2-r0.apk
571.63KB
2024-12-15 21:18:45
boson-0_git20211219-r0.apk
15.87KB
2024-10-25 23:05:58
boxed-cpp-1.4.3-r0.apk
1.19KB
2024-10-25 23:05:58
boxed-cpp-dev-1.4.3-r0.apk
6.22KB
2024-10-25 23:05:58
boxed-cpp-doc-1.4.3-r0.apk
5.45KB
2024-10-25 23:05:58
boxes-2.3.1-r0.apk
75.07KB
2024-10-25 23:05:58
boxes-doc-2.3.1-r0.apk
7.11KB
2024-10-25 23:05:58
brial-1.2.11-r4.apk
1.01MB
2024-10-25 23:05:58
brial-dev-1.2.11-r4.apk
1.73MB
2024-10-25 23:05:58
brltty-6.7-r0.apk
2.00MB
2024-10-25 23:05:58
brltty-dev-6.7-r0.apk
140.06KB
2024-10-25 23:05:58
brltty-doc-6.7-r0.apk
9.38KB
2024-10-25 23:05:58
brltty-lang-6.7-r0.apk
148.70KB
2024-10-25 23:05:58
brltty-static-6.7-r0.apk
23.46KB
2024-10-25 23:05:58
btpd-0.16-r2.apk
70.26KB
2024-10-25 23:05:58
btpd-doc-0.16-r2.apk
8.40KB
2024-10-25 23:05:58
bump2version-1.0.1-r6.apk
20.85KB
2024-10-25 23:05:58
bump2version-pyc-1.0.1-r6.apk
29.11KB
2024-10-25 23:05:58
burp-3.1.4-r0.apk
164.60KB
2024-10-25 23:05:58
burp-doc-3.1.4-r0.apk
99.41KB
2024-10-25 23:05:58
burp-server-3.1.4-r0.apk
36.06KB
2024-10-25 23:05:58
butane-0.22.0-r0.apk
2.57MB
2024-10-25 23:05:58
bwrap-oci-0.2-r1.apk
14.50KB
2024-10-25 23:05:58
bwrap-oci-doc-0.2-r1.apk
2.49KB
2024-10-25 23:05:58
c2rust-0.18.0-r1.apk
1.95MB
2024-10-25 23:05:58
caffeine-ng-4.2.0-r1.apk
100.40KB
2024-10-25 23:05:58
caffeine-ng-doc-4.2.0-r1.apk
3.16KB
2024-10-25 23:05:58
caffeine-ng-lang-4.2.0-r1.apk
34.36KB
2024-10-25 23:05:58
caja-gtkhash-plugin-1.5-r0.apk
23.94KB
2024-10-25 23:05:58
caps2esc-0.3.2-r0.apk
4.40KB
2024-10-25 23:05:58
care-2.3.0-r1.apk
88.38KB
2024-10-25 23:05:58
care-doc-2.3.0-r1.apk
7.89KB
2024-10-25 23:05:58
cargo-expand-1.0.100-r0.apk
2.32MB
2025-01-12 23:00:40
cargo-expand-doc-1.0.100-r0.apk
5.66KB
2025-01-12 23:00:40
cargo-generate-0.22.0-r1.apk
2.16MB
2024-11-22 20:29:45
cargo-machete-0.7.0-r0.apk
1.23MB
2024-12-28 20:15:59
cargo-machete-doc-0.7.0-r0.apk
3.95KB
2024-12-28 20:15:59
cargo-run-bin-1.7.2-r0.apk
445.68KB
2024-10-25 23:05:58
cargo-run-bin-doc-1.7.2-r0.apk
5.04KB
2024-10-25 23:05:58
cargo-shuttle-0.51.0-r0.apk
5.67MB
2025-01-10 22:35:07
cargo-shuttle-bash-completion-0.51.0-r0.apk
4.97KB
2025-01-10 22:35:07
cargo-shuttle-doc-0.51.0-r0.apk
9.46KB
2025-01-10 22:35:07
cargo-shuttle-fish-completion-0.51.0-r0.apk
8.31KB
2025-01-10 22:35:07
cargo-shuttle-zsh-completion-0.51.0-r0.apk
7.81KB
2025-01-10 22:35:07
cargo-sort-1.0.9_git20240110-r0.apk
411.97KB
2024-10-25 23:05:58
cargo-udeps-0.1.54-r0.apk
4.59MB
2025-01-12 14:35:38
cargo-udeps-doc-0.1.54-r0.apk
7.41KB
2025-01-12 14:35:38
cargo-update-16.0.0-r0.apk
1.12MB
2024-12-14 20:06:58
cargo-update-doc-16.0.0-r0.apk
8.20KB
2024-12-14 20:06:58
cargo-vendor-filterer-0.5.9-r1.apk
442.18KB
2024-10-25 23:05:58
castero-0.9.5-r3.apk
51.86KB
2024-10-25 23:05:58
castero-pyc-0.9.5-r3.apk
94.93KB
2024-10-25 23:05:58
castor-0.9.0-r2.apk
714.59KB
2024-10-25 23:05:58
catcodec-1.0.5-r2.apk
11.81KB
2024-10-25 23:05:58
catcodec-doc-1.0.5-r2.apk
4.91KB
2024-10-25 23:05:58
catdoc-0.95-r1.apk
109.73KB
2024-10-25 23:05:58
catdoc-doc-0.95-r1.apk
9.25KB
2024-10-25 23:05:58
catfish-4.20.0-r0.apk
126.30KB
2024-12-27 12:36:42
catfish-doc-4.20.0-r0.apk
12.89KB
2024-12-27 12:36:42
catfish-lang-4.20.0-r0.apk
162.38KB
2024-12-27 12:36:42
catfish-pyc-4.20.0-r0.apk
101.10KB
2024-12-27 12:36:42
cava-0.10.3-r0.apk
42.52KB
2024-11-20 00:34:15
cc65-2.19-r0.apk
8.80MB
2024-10-25 23:05:59
ccrtp-2.1.2-r0.apk
88.34KB
2024-10-25 23:05:59
ccrtp-dev-2.1.2-r0.apk
52.71KB
2024-10-25 23:05:59
ccrtp-doc-2.1.2-r0.apk
31.27KB
2024-10-25 23:05:59
ccze-0.2.1-r1.apk
71.67KB
2024-10-25 23:05:59
ccze-dev-0.2.1-r1.apk
3.32KB
2024-10-25 23:05:59
ccze-doc-0.2.1-r1.apk
8.84KB
2024-10-25 23:05:59
cdba-1.0-r2.apk
7.87KB
2024-10-25 23:05:59
cdba-server-1.0-r2.apk
20.19KB
2024-10-25 23:05:59
cddlib-0.94m-r2.apk
169.20KB
2024-10-25 23:05:59
cddlib-dev-0.94m-r2.apk
14.07KB
2024-10-25 23:05:59
cddlib-doc-0.94m-r2.apk
863.71KB
2024-10-25 23:05:59
cddlib-static-0.94m-r2.apk
234.58KB
2024-10-25 23:05:59
cddlib-tools-0.94m-r2.apk
34.65KB
2024-10-25 23:05:59
cdist-7.0.0-r6.apk
510.99KB
2024-10-25 23:05:59
cdist-pyc-7.0.0-r6.apk
127.58KB
2024-10-25 23:05:59
cdogs-sdl-2.1.0-r0.apk
33.36MB
2024-10-25 23:05:59
certbot-dns-njalla-2.0.0-r0.apk
9.30KB
2024-11-28 01:06:05
certbot-dns-njalla-pyc-2.0.0-r0.apk
4.21KB
2024-11-28 01:06:06
certbot-dns-pdns-0.1.1-r0.apk
8.85KB
2024-10-25 23:05:59
certbot-dns-pdns-pyc-0.1.1-r0.apk
3.87KB
2024-10-25 23:05:59
certigo-1.16.0-r18.apk
3.22MB
2024-10-25 23:05:59
certstrap-1.3.0-r18.apk
1.95MB
2024-10-25 23:05:59
cfssl-1.6.5-r0.apk
26.66MB
2024-10-25 23:06:00
cgiirc-0.5.12-r1.apk
132.01KB
2024-10-25 23:06:00
cgo-0.6.1-r1.apk
10.18KB
2024-10-25 23:06:00
cgo-doc-0.6.1-r1.apk
4.11KB
2024-10-25 23:06:00
chamo-4.0-r0.apk
6.26MB
2024-10-25 23:06:00
chamo-byte-4.0-r0.apk
1.44MB
2024-10-25 23:06:00
chamo-dev-4.0-r0.apk
4.11MB
2024-10-25 23:06:00
charls-2.4.2-r0.apk
61.06KB
2024-10-25 23:06:00
charls-dev-2.4.2-r0.apk
26.59KB
2024-10-25 23:06:00
checkpolicy-3.6-r0.apk
368.68KB
2024-10-25 23:06:00
checkpolicy-doc-3.6-r0.apk
4.15KB
2024-10-25 23:06:00
cherrytree-1.2.0-r3.apk
2.59MB
2024-12-18 13:47:35
cherrytree-doc-1.2.0-r3.apk
2.10KB
2024-12-18 13:47:35
cherrytree-lang-1.2.0-r3.apk
845.63KB
2024-12-18 13:47:35
chicago95-3.0.1_git20240619-r0.apk
481.10KB
2024-10-25 23:06:00
chicago95-fonts-3.0.1_git20240619-r0.apk
214.83KB
2024-10-25 23:06:00
chicago95-icons-3.0.1_git20240619-r0.apk
12.00MB
2024-10-25 23:06:00
chim-1.1.2-r1.apk
1.56MB
2024-10-25 23:06:00
chim-doc-1.1.2-r1.apk
2.81KB
2024-10-25 23:06:00
chocolate-doom-3.1.0-r0.apk
1.58MB
2024-10-25 23:06:00
chocolate-doom-doc-3.1.0-r0.apk
231.34KB
2024-10-25 23:06:00
cilium-cli-0.16.13-r0.apk
53.40MB
2024-10-25 23:06:01
cilium-cli-bash-completion-0.16.13-r0.apk
5.06KB
2024-10-25 23:06:01
cilium-cli-fish-completion-0.16.13-r0.apk
4.32KB
2024-10-25 23:06:01
cilium-cli-zsh-completion-0.16.13-r0.apk
4.04KB
2024-10-25 23:06:01
cimg-3.4.1-r0.apk
825.98KB
2024-10-25 23:06:01
circuslinux-1.0.3-r1.apk
19.56KB
2024-10-25 23:06:01
circuslinux-data-1.0.3-r1.apk
1.13MB
2024-10-25 23:06:01
circuslinux-doc-1.0.3-r1.apk
17.97KB
2024-10-25 23:06:01
ckb-next-0.6.0-r1.apk
1.24MB
2024-10-25 23:06:01
ckb-next-daemon-0.6.0-r1.apk
76.35KB
2024-10-25 23:06:01
ckb-next-daemon-openrc-0.6.0-r1.apk
1.83KB
2024-10-25 23:06:01
ckb-next-dev-0.6.0-r1.apk
5.00KB
2024-10-25 23:06:01
clatd-1.6-r0.apk
12.61KB
2024-10-25 23:06:01
clementine-1.4.0_git20220324-r12.apk
7.08MB
2024-10-25 23:06:01
clevis-19-r0.apk
41.13KB
2024-10-25 23:06:01
clevis-bash-completion-19-r0.apk
2.11KB
2024-10-25 23:06:01
clevis-dbg-19-r0.apk
51.06KB
2024-10-25 23:06:01
clevis-doc-19-r0.apk
22.16KB
2024-10-25 23:06:01
clevis-extra-pins-0_git20230629-r0.apk
4.63KB
2024-10-25 23:06:01
click-0.5.2-r3.apk
157.69KB
2024-10-25 23:06:01
click-dev-0.5.2-r3.apk
9.26KB
2024-10-25 23:06:01
click-doc-0.5.2-r3.apk
3.33KB
2024-10-25 23:06:01
click-pyc-0.5.2-r3.apk
176.34KB
2024-10-25 23:06:01
clinfo-3.0.23.01.25-r0.apk
44.86KB
2024-10-25 23:06:01
clinfo-doc-3.0.23.01.25-r0.apk
6.47KB
2024-10-25 23:06:01
cliphist-0.6.1-r0.apk
898.07KB
2024-10-25 23:06:01
cliphist-fzf-0.6.1-r0.apk
1.73KB
2024-10-25 23:06:01
clipit-1.4.5-r3.apk
65.00KB
2024-10-25 23:06:01
clipit-doc-1.4.5-r3.apk
2.39KB
2024-10-25 23:06:01
cliquer-1.22-r2.apk
7.18KB
2024-10-25 23:06:01
cliquer-dev-1.22-r2.apk
7.40KB
2024-10-25 23:06:01
cliquer-libs-1.22-r2.apk
22.86KB
2024-10-25 23:06:01
cliquer-static-1.22-r2.apk
25.15KB
2024-10-25 23:06:01
cliquer-tests-1.22-r2.apk
24.02KB
2024-10-25 23:06:01
cln-1.3.7-r0.apk
440.71KB
2024-10-25 23:06:01
cln-dev-1.3.7-r0.apk
1.19MB
2024-10-25 23:06:01
cln-doc-1.3.7-r0.apk
77.77KB
2024-10-25 23:06:01
cloudflared-2024.12.1-r0.apk
8.63MB
2024-12-25 20:53:26
cloudflared-doc-2024.12.1-r0.apk
1.85KB
2024-12-25 20:53:26
cloudflared-openrc-2024.12.1-r0.apk
1.73KB
2024-12-25 20:53:26
cloudfoundry-cli-8.7.9-r4.apk
8.17MB
2024-10-25 23:06:02
cluster-glue-1.0.12-r5.apk
257.32KB
2024-10-25 23:06:02
cluster-glue-dev-1.0.12-r5.apk
1.01MB
2024-10-25 23:06:02
cluster-glue-doc-1.0.12-r5.apk
32.93KB
2024-10-25 23:06:02
cluster-glue-libs-1.0.12-r5.apk
113.87KB
2024-10-25 23:06:02
cmusfm-0.5.0-r0.apk
13.83KB
2024-10-25 23:06:02
coccinelle-1.1.1-r2.apk
6.77MB
2024-10-25 23:06:02
coccinelle-bash-completion-1.1.1-r2.apk
2.85KB
2024-10-25 23:06:02
coccinelle-doc-1.1.1-r2.apk
15.97KB
2024-10-25 23:06:02
cocogitto-6.2.0-r1.apk
2.14MB
2024-12-12 23:08:36
cocogitto-bash-completion-6.2.0-r1.apk
3.04KB
2024-12-12 23:08:36
cocogitto-doc-6.2.0-r1.apk
35.91KB
2024-12-12 23:08:36
cocogitto-fish-completion-6.2.0-r1.apk
3.29KB
2024-12-12 23:08:36
cocogitto-zsh-completion-6.2.0-r1.apk
3.04KB
2024-12-12 23:08:36
code-minimap-0.6.7-r0.apk
365.91KB
2024-12-12 21:36:30
code-minimap-doc-0.6.7-r0.apk
7.99KB
2024-12-12 21:36:30
codeberg-cli-0.4.7-r0.apk
1.98MB
2025-01-07 08:37:22
codeberg-cli-bash-completion-0.4.7-r0.apk
4.93KB
2025-01-07 08:37:22
codeberg-cli-fish-completion-0.4.7-r0.apk
4.76KB
2025-01-07 08:37:22
codeberg-cli-zsh-completion-0.4.7-r0.apk
6.67KB
2025-01-07 08:37:22
coin-4.0.3-r0.apk
2.61MB
2024-12-13 22:41:36
coin-dev-4.0.3-r0.apk
326.23KB
2024-12-13 22:41:36
colormake-0.9.20170221-r0.apk
4.03KB
2024-10-25 23:06:02
colormake-doc-0.9.20170221-r0.apk
2.67KB
2024-10-25 23:06:02
colorpicker-0_git20201128-r1.apk
4.16KB
2024-10-25 23:06:02
comics-downloader-0.33.8-r5.apk
3.37MB
2024-10-25 23:06:02
comics-downloader-gui-0.33.8-r5.apk
5.09MB
2024-10-25 23:06:02
commoncpp-7.0.1-r1.apk
243.86KB
2024-10-25 23:06:02
commoncpp-dev-7.0.1-r1.apk
173.03KB
2024-10-25 23:06:02
commoncpp-doc-7.0.1-r1.apk
14.83KB
2024-10-25 23:06:02
commoncpp-tools-7.0.1-r1.apk
38.08KB
2024-10-25 23:06:02
compiz-0.9.14.2-r7.apk
5.54MB
2024-11-22 20:29:45
compiz-dev-0.9.14.2-r7.apk
117.14KB
2024-11-22 20:29:45
compiz-lang-0.9.14.2-r7.apk
1.21MB
2024-11-22 20:29:45
compiz-pyc-0.9.14.2-r7.apk
111.46KB
2024-11-22 20:29:45
compiz-utils-0.9.14.2-r7.apk
3.27KB
2024-11-22 20:29:45
conntracct-0.2.7-r26.apk
4.48MB
2024-10-25 23:06:02
conntracct-openrc-0.2.7-r26.apk
1.95KB
2024-10-25 23:06:02
console_bridge-1.0.2-r0.apk
9.18KB
2024-10-25 23:06:02
console_bridge-dev-1.0.2-r0.apk
4.73KB
2024-10-25 23:06:02
consul-replicate-0.4.0-r26.apk
2.47MB
2024-10-25 23:06:02
contractor-0.3.5-r0.apk
24.24KB
2024-11-12 23:54:01
convert2json-1.1.2-r0.apk
2.32MB
2024-11-30 13:18:00
convert2json-doc-1.1.2-r0.apk
10.70KB
2024-11-30 13:18:00
cortex-tenant-1.15.2-r1.apk
3.88MB
2024-12-19 11:49:20
cortex-tenant-openrc-1.15.2-r1.apk
1.99KB
2024-12-19 11:49:20
cowsay-3.04-r2.apk
18.37KB
2024-10-25 23:06:02
cowsay-doc-3.04-r2.apk
4.00KB
2024-10-25 23:06:02
coxeter-3.0-r1.apk
48.49KB
2024-10-25 23:06:02
coxeter-dev-3.0-r1.apk
56.72KB
2024-10-25 23:06:02
coxeter-libs-3.0-r1.apk
286.22KB
2024-10-25 23:06:02
cpiped-0.1.0-r0.apk
6.78KB
2024-10-25 23:06:02
cpplint-2.0.0-r0.apk
76.95KB
2024-11-21 21:11:22
cpplint-pyc-2.0.0-r0.apk
96.17KB
2024-11-21 21:11:22
cpuburn-1.4a_git20160316-r2.apk
10.77KB
2024-10-25 23:06:02
cpufetch-1.06-r0.apk
44.29KB
2024-10-25 23:06:02
cpufetch-doc-1.06-r0.apk
3.10KB
2024-10-25 23:06:02
crazydiskinfo-1.1.0-r1.apk
29.57KB
2024-10-25 23:06:02
create-tauri-app-4.5.9-r0.apk
645.72KB
2024-12-26 00:07:44
create-tauri-app-doc-4.5.9-r0.apk
6.09KB
2024-12-26 00:07:44
createrepo_c-1.1.4-r0.apk
46.78KB
2024-10-25 23:06:02
createrepo_c-bash-completion-1.1.4-r0.apk
2.88KB
2024-10-25 23:06:02
createrepo_c-dev-1.1.4-r0.apk
31.47KB
2024-10-25 23:06:02
createrepo_c-doc-1.1.4-r0.apk
8.65KB
2024-10-25 23:06:02
createrepo_c-libs-1.1.4-r0.apk
90.56KB
2024-10-25 23:06:02
crispy-doom-7.0-r0.apk
1.77MB
2024-10-25 23:06:03
crispy-doom-doc-7.0-r0.apk
106.83KB
2024-10-25 23:06:03
critcl-3.3.1-r0.apk
429.51KB
2024-10-25 23:06:03
critcl-doc-3.3.1-r0.apk
787.30KB
2024-10-25 23:06:03
crossplane-0.5.8-r3.apk
30.20KB
2024-10-25 23:06:03
crossplane-pyc-0.5.8-r3.apk
39.25KB
2024-10-25 23:06:03
crosstool-ng-1.26.0_git20240914-r0.apk
2.09MB
2024-10-25 23:06:03
crosstool-ng-bash-completion-1.26.0_git20240914-r0.apk
2.16KB
2024-10-25 23:06:03
crosstool-ng-doc-1.26.0_git20240914-r0.apk
3.86KB
2024-10-25 23:06:03
crowdsec-1.6.4-r0.apk
32.28MB
2024-11-23 23:25:09
crowdsec-email-plugin-1.6.4-r0.apk
7.86MB
2024-11-23 23:25:09
crowdsec-http-plugin-1.6.4-r0.apk
7.82MB
2024-11-23 23:25:09
crowdsec-openrc-1.6.4-r0.apk
1.74KB
2024-11-23 23:25:09
crowdsec-sentinel-plugin-1.6.4-r0.apk
7.81MB
2024-11-23 23:25:09
crowdsec-slack-plugin-1.6.4-r0.apk
7.85MB
2024-11-23 23:25:09
crowdsec-splunk-plugin-1.6.4-r0.apk
7.81MB
2024-11-23 23:25:09
crun-vm-0.3.0-r0.apk
1.12MB
2024-11-12 13:34:16
crun-vm-doc-0.3.0-r0.apk
12.89KB
2024-11-12 13:34:16
cscope-15.9-r1.apk
152.59KB
2024-10-25 23:06:04
cscope-doc-15.9-r1.apk
7.49KB
2024-10-25 23:06:04
csfml-2.5.2-r0.apk
88.83KB
2024-10-25 23:06:04
csfml-dev-2.5.2-r0.apk
77.18KB
2024-10-25 23:06:04
csfml-doc-2.5.2-r0.apk
203.99KB
2024-10-25 23:06:04
csmith-2.3.0-r2.apk
300.67KB
2024-10-25 23:06:04
csmith-doc-2.3.0-r2.apk
3.07KB
2024-10-25 23:06:04
csol-1.6.0-r0.apk
35.65KB
2024-10-25 23:06:04
csol-doc-1.6.0-r0.apk
3.85KB
2024-10-25 23:06:04
ctorrent-dnh-3.3.2-r2.apk
89.38KB
2024-10-25 23:06:04
cups-pdf-3.0.1-r2.apk
21.74KB
2024-10-25 23:06:04
curlftpfs-0.9.2-r3.apk
25.57KB
2024-10-25 23:06:04
curlftpfs-doc-0.9.2-r3.apk
6.12KB
2024-10-25 23:06:04
curtail-1.11.1-r0.apk
26.74KB
2024-10-25 23:06:04
curtail-lang-1.11.1-r0.apk
65.88KB
2024-10-25 23:06:04
cutechess-1.3.1-r0.apk
1.05MB
2024-10-25 23:06:04
cutechess-cli-1.3.1-r0.apk
328.78KB
2024-10-25 23:06:04
cutechess-cli-doc-1.3.1-r0.apk
6.56KB
2024-10-25 23:06:04
cutechess-doc-1.3.1-r0.apk
3.54KB
2024-10-25 23:06:04
cvise-2.8.0-r2.apk
4.98MB
2024-10-25 23:06:04
cvise-pyc-2.8.0-r2.apk
63.35KB
2024-10-25 23:06:04
cvs-fast-export-1.65-r0.apk
47.59KB
2024-10-25 23:06:04
cvs-fast-export-doc-1.65-r0.apk
17.43KB
2024-10-25 23:06:04
cvs-fast-export-tools-1.65-r0.apk
8.64KB
2024-10-25 23:06:04
cyrus-sasl-xoauth2-0.2-r1.apk
6.25KB
2024-10-25 23:06:04
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2.28KB
2024-10-25 23:06:04
cyrus-sasl-xoauth2-static-0.2-r1.apk
6.72KB
2024-10-25 23:06:04
cz-viator-hourglass-black-20210706-r0.apk
219.05KB
2024-10-25 23:06:04
daemontools-0.76-r3.apk
50.76KB
2024-10-25 23:06:04
daemontools-openrc-0.76-r3.apk
1.96KB
2024-10-25 23:06:04
daktilo-0.6.0-r0.apk
1.80MB
2024-10-25 23:06:04
daktilo-bash-completion-0.6.0-r0.apk
2.16KB
2024-10-25 23:06:04
daktilo-doc-0.6.0-r0.apk
8.66KB
2024-10-25 23:06:04
daktilo-fish-completion-0.6.0-r0.apk
1.94KB
2024-10-25 23:06:04
daktilo-zsh-completion-0.6.0-r0.apk
2.27KB
2024-10-25 23:06:04
darts-clone-0_git20181117-r0.apk
33.61KB
2024-10-25 23:06:04
darts-clone-dev-0_git20181117-r0.apk
12.81KB
2024-10-25 23:06:04
dasht-2.4.0-r0.apk
14.21KB
2024-10-25 23:06:04
dasht-doc-2.4.0-r0.apk
11.38KB
2024-10-25 23:06:04
dasht-zsh-completion-2.4.0-r0.apk
2.06KB
2024-10-25 23:06:04
dbmate-2.16.0-r0.apk
9.50MB
2024-10-25 23:06:04
dbmate-doc-2.16.0-r0.apk
2.25KB
2024-10-25 23:06:04
dbus-broker-36-r0.apk
83.93KB
2024-10-25 23:06:04
dbus-broker-doc-36-r0.apk
5.84KB
2024-10-25 23:06:04
dbus-waiter-0.2.0-r0.apk
684.27KB
2024-10-25 23:06:04
dcnnt-0.10.0-r1.apk
27.78KB
2024-10-25 23:06:04
dcnnt-doc-0.10.0-r1.apk
6.59KB
2024-10-25 23:06:04
dcnnt-pyc-0.10.0-r1.apk
61.67KB
2024-10-25 23:06:04
ddcci-driver-linux-src-0.4.5-r1.apk
18.86KB
2025-01-09 13:45:29
ddgr-2.2-r0.apk
20.07KB
2024-10-25 23:06:04
ddgr-bash-completion-2.2-r0.apk
2.20KB
2024-10-25 23:06:04
ddgr-doc-2.2-r0.apk
11.52KB
2024-10-25 23:06:04
ddgr-fish-completion-2.2-r0.apk
2.28KB
2024-10-25 23:06:04
ddgr-zsh-completion-2.2-r0.apk
2.67KB
2024-10-25 23:06:04
ddnrs-0.3.0-r0.apk
0.96MB
2024-10-25 23:06:04
ddnrs-openrc-0.3.0-r0.apk
1.99KB
2024-10-25 23:06:04
ddserver-0_git20200930-r1.apk
11.23KB
2024-10-25 23:06:04
deadbeef-soxr-20180801-r0.apk
5.33KB
2024-10-25 23:06:04
debconf-1.5.82-r0.apk
69.41KB
2024-10-25 23:06:04
debconf-bash-completion-1.5.82-r0.apk
1.86KB
2024-10-25 23:06:04
debconf-doc-1.5.82-r0.apk
26.80KB
2024-10-25 23:06:04
debconf-lang-1.5.82-r0.apk
132.43KB
2024-10-25 23:06:04
debconf-utils-1.5.82-r0.apk
6.66KB
2024-10-25 23:06:04
decoder-0.6.1-r0.apk
2.00MB
2024-10-25 23:06:04
decoder-lang-0.6.1-r0.apk
59.36KB
2024-10-25 23:06:04
dehydrated-0.7.1-r0.apk
26.44KB
2024-10-25 23:06:04
desed-1.2.1-r1.apk
400.41KB
2024-10-25 23:06:04
desed-doc-1.2.1-r1.apk
2.88KB
2024-10-25 23:06:04
desync-0.9.6-r0.apk
7.10MB
2025-01-05 00:20:15
detox-2.0.0-r0.apk
111.32KB
2024-10-25 23:06:04
detox-doc-2.0.0-r0.apk
20.71KB
2024-10-25 23:06:04
devil-1.8.0-r0.apk
228.20KB
2024-10-25 23:06:04
devil-dev-1.8.0-r0.apk
12.88KB
2024-10-25 23:06:04
dewduct-0.2.3-r0.apk
1.10MB
2024-10-25 23:06:04
dex-0.10.1-r0.apk
8.55KB
2024-12-25 20:53:26
dex-doc-0.10.1-r0.apk
6.32KB
2024-12-25 20:53:26
dfl-applications-0.2.0-r0.apk
20.09KB
2024-10-25 23:06:04
dfl-applications-dev-0.2.0-r0.apk
4.11KB
2024-10-25 23:06:04
dfl-ipc-0.2.0-r0.apk
19.03KB
2024-10-25 23:06:04
dfl-ipc-dev-0.2.0-r0.apk
3.59KB
2024-10-25 23:06:04
dfl-login1-0.2.0-r0.apk
15.96KB
2024-10-25 23:06:04
dfl-login1-dev-0.2.0-r0.apk
3.44KB
2024-10-25 23:06:04
dfl-sni-0.2.0-r0.apk
29.09KB
2024-10-25 23:06:04
dfl-sni-dev-0.2.0-r0.apk
4.11KB
2024-10-25 23:06:04
dfu-programmer-1.1.0-r0.apk
37.06KB
2024-10-25 23:06:04
dfu-programmer-bash-completion-1.1.0-r0.apk
2.76KB
2024-10-25 23:06:04
dfu-programmer-doc-1.1.0-r0.apk
5.74KB
2024-10-25 23:06:04
dhewm3-1.5.2-r0.apk
4.17MB
2024-10-25 23:06:04
diceware-0.10-r1.apk
217.65KB
2024-10-25 23:06:04
diceware-pyc-0.10-r1.apk
17.07KB
2024-10-25 23:06:04
ding-libs-0.6.2-r4.apk
65.46KB
2024-10-25 23:06:04
ding-libs-dev-0.6.2-r4.apk
67.96KB
2024-10-25 23:06:04
disfetch-3.7-r0.apk
8.29KB
2024-10-25 23:06:04
diskus-0.7.0-r2.apk
334.65KB
2024-10-25 23:06:04
dislocker-0.7.3-r5.apk
12.42KB
2024-10-25 23:06:04
dislocker-doc-0.7.3-r5.apk
6.07KB
2024-10-25 23:06:04
dislocker-libs-0.7.3-r5.apk
44.80KB
2024-10-25 23:06:04
dissent-0.0.31-r0.apk
14.71MB
2024-12-19 07:12:49
dive-0.12.0-r0.apk
3.72MB
2024-10-25 23:06:04
dived-1.9.0-r0.apk
22.16KB
2024-10-25 23:06:04
dived-doc-1.9.0-r0.apk
10.75KB
2024-10-25 23:06:04
dlib-19.24.4-r0.apk
755.19KB
2024-10-25 23:06:04
dlib-dev-19.24.4-r0.apk
2.43MB
2024-10-25 23:06:05
dmarc-cat-0.15.0-r0.apk
2.33MB
2024-10-25 23:06:05
dmarc-metrics-exporter-1.2.0-r0.apk
25.27KB
2024-11-30 00:04:44
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
1.85KB
2024-11-30 00:04:44
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
46.46KB
2024-11-30 00:04:44
dnscontrol-4.15.3-r0.apk
13.55MB
2025-01-04 23:56:12
dnscontrol-doc-4.15.3-r0.apk
2.23KB
2025-01-04 23:56:12
dnscrypt-wrapper-0.4.2-r3.apk
29.23KB
2024-10-25 23:06:05
dnsenum-1.3.2-r0.apk
21.23KB
2024-10-25 23:06:05
dnsenum-doc-1.3.2-r0.apk
5.24KB
2024-10-25 23:06:05
dnsperf-2.14.0-r0.apk
78.99KB
2024-10-25 23:06:05
dnsperf-doc-2.14.0-r0.apk
34.92KB
2024-10-25 23:06:05
dnssec-tools-2.2.3-r12.apk
748.34KB
2024-10-25 23:06:05
dnssec-tools-dev-2.2.3-r12.apk
181.22KB
2024-10-25 23:06:05
dnssec-tools-doc-2.2.3-r12.apk
315.41KB
2024-10-25 23:06:05
doasedit-1.0.7-r0.apk
3.56KB
2024-10-25 23:06:05
docker-auth-1.12.0-r0.apk
9.33MB
2024-11-13 13:45:06
docker-auth-openrc-1.12.0-r0.apk
2.00KB
2024-11-13 13:45:06
docker-volume-local-persist-1.3.0-r28.apk
2.16MB
2024-10-25 23:06:05
docker-volume-local-persist-openrc-1.3.0-r28.apk
1.77KB
2024-10-25 23:06:05
dockerize-0.9.0-r0.apk
2.98MB
2024-12-20 07:53:35
dodo-0_git20241007-r0.apk
185.70KB
2024-10-25 23:06:05
dodo-pyc-0_git20241007-r0.apk
85.54KB
2024-10-25 23:06:05
dooit-3.1.0-r0.apk
44.63KB
2024-12-07 22:22:51
dooit-extras-0.2.0-r0.apk
12.73KB
2024-12-07 22:22:51
dooit-extras-pyc-0.2.0-r0.apk
22.79KB
2024-12-07 22:22:51
dooit-pyc-3.1.0-r0.apk
99.67KB
2024-12-07 22:22:51
dotenv-linter-3.3.0-r1.apk
821.05KB
2024-10-25 23:06:05
downloader-cli-0.3.4-r1.apk
2.01KB
2024-10-25 23:06:05
draco-1.5.7-r1.apk
779.94KB
2024-10-25 23:06:05
draco-dev-1.5.7-r1.apk
212.96KB
2024-10-25 23:06:05
draco-static-1.5.7-r1.apk
1.63MB
2024-10-25 23:06:05
draco-tools-1.5.7-r1.apk
1.18MB
2024-10-25 23:06:05
draw-0.1.1-r8.apk
905.20KB
2024-10-25 23:06:05
drawing-1.0.2-r0.apk
3.18MB
2024-10-25 23:06:05
drawing-lang-1.0.2-r0.apk
271.98KB
2024-10-25 23:06:05
drogon-1.9.4-r1.apk
1.41MB
2024-10-25 23:06:05
drogon-dev-1.9.4-r1.apk
124.60KB
2024-10-25 23:06:05
drogon-doc-1.9.4-r1.apk
2.25KB
2024-10-25 23:06:05
droidcam-2.1.3-r1.apk
18.14KB
2024-11-08 23:25:30
droidcam-gui-2.1.3-r1.apk
27.31KB
2024-11-08 23:25:30
drone-cli-1.8.0-r5.apk
5.09MB
2024-10-25 23:06:05
dropwatch-1.5.4-r6.apk
15.13KB
2024-10-25 23:06:05
dropwatch-doc-1.5.4-r6.apk
3.70KB
2024-10-25 23:06:05
drumgizmo-0.9.20-r1.apk
379.24KB
2024-10-25 23:06:05
drupal7-7.103-r0.apk
3.28MB
2024-12-04 19:28:18
drupal7-doc-7.103-r0.apk
57.46KB
2024-12-04 19:28:18
dsnet-0.7.3-r6.apk
3.63MB
2025-01-03 18:47:26
dsnet-doc-0.7.3-r6.apk
9.15KB
2025-01-03 18:47:26
dsp-1.9-r2.apk
91.66KB
2024-10-25 23:06:05
dsp-doc-1.9-r2.apk
7.15KB
2024-10-25 23:06:05
dstask-0.26-r9.apk
1.25MB
2024-10-25 23:06:05
dstask-bash-completion-0.26-r9.apk
2.10KB
2024-10-25 23:06:05
dstask-import-0.26-r9.apk
2.97MB
2024-10-25 23:06:05
dstask-zsh-completion-0.26-r9.apk
1.66KB
2024-10-25 23:06:05
dublin-traceroute-0.4.2-r4.apk
44.71KB
2024-10-25 23:06:05
dublin-traceroute-contrib-0.4.2-r4.apk
2.86KB
2024-10-25 23:06:05
dublin-traceroute-dev-0.4.2-r4.apk
6.89KB
2024-10-25 23:06:05
dublin-traceroute-doc-0.4.2-r4.apk
2.30KB
2024-10-25 23:06:05
duc-1.4.5-r0.apk
86.33KB
2024-10-25 23:06:05
duc-doc-1.4.5-r0.apk
9.06KB
2024-10-25 23:06:05
duf-0.8.1-r21.apk
0.96MB
2024-10-25 23:06:05
dulcepan-1.0.2-r0.apk
19.76KB
2024-10-25 23:06:05
dum-0.1.19-r1.apk
231.71KB
2024-10-25 23:06:05
dumb_runtime_dir-1.0.4-r3.apk
3.51KB
2024-10-25 23:06:05
dune-deps-1.3.0-r2.apk
795.82KB
2024-10-25 23:06:05
dustracing2d-2.1.1-r1.apk
5.09MB
2024-10-25 23:06:05
dvdbackup-0.4.2-r1.apk
17.08KB
2024-10-25 23:06:05
dvdbackup-doc-0.4.2-r1.apk
7.58KB
2024-10-25 23:06:05
dvdbackup-lang-0.4.2-r1.apk
1.43KB
2024-10-25 23:06:05
dwl-0.7-r0.apk
25.67KB
2024-10-25 23:06:05
dwl-doc-0.7-r0.apk
3.13KB
2024-10-25 23:06:05
e16-1.0.30-r0.apk
773.23KB
2024-11-05 15:22:02
e16-doc-1.0.30-r0.apk
27.25KB
2024-11-05 15:22:02
e16-lang-1.0.30-r0.apk
379.63KB
2024-11-05 15:22:02
eatmemory-0.1.6-r2.apk
4.22KB
2024-10-25 23:06:05
eboard-1.1.3-r1.apk
1.38MB
2024-10-25 23:06:06
eboard-doc-1.1.3-r1.apk
4.64KB
2024-10-25 23:06:06
ecasound-2.9.3-r3.apk
657.51KB
2024-10-25 23:06:06
ecasound-dev-2.9.3-r3.apk
1.19MB
2024-10-25 23:06:06
ecasound-doc-2.9.3-r3.apk
38.60KB
2024-10-25 23:06:06
ecm-7.0.5-r1.apk
124.41KB
2024-10-25 23:06:06
ecm-dev-7.0.5-r1.apk
4.87KB
2024-10-25 23:06:06
ecm-doc-7.0.5-r1.apk
7.19KB
2024-10-25 23:06:06
ecos-2.0.10-r0.apk
37.93KB
2024-10-25 23:06:06
ecos-dev-2.0.10-r0.apk
27.92KB
2024-10-25 23:06:06
edam-1.0.2-r0.apk
32.00KB
2025-01-07 18:40:57
edam-doc-1.0.2-r0.apk
8.26KB
2025-01-07 18:40:57
edward-1.1.0-r0.apk
1.86MB
2024-10-25 23:06:06
edward-doc-1.1.0-r0.apk
5.27KB
2024-10-25 23:06:06
efl-1.27.0-r1.apk
33.85MB
2024-10-25 23:06:06
efl-dev-1.27.0-r1.apk
1.87MB
2024-10-25 23:06:06
efl-gdb-1.27.0-r1.apk
1.77KB
2024-10-25 23:06:06
eiwd-2.22-r0.apk
767.18KB
2024-10-25 23:06:06
eiwd-doc-2.22-r0.apk
19.81KB
2024-10-25 23:06:06
eiwd-openrc-2.22-r0.apk
1.83KB
2024-10-25 23:06:06
elementary-calculator-8.0.0-r0.apk
68.66KB
2024-10-29 00:05:34
elementary-calculator-lang-8.0.0-r0.apk
57.30KB
2024-10-29 00:05:34
elementary-camera-8.0.0-r0.apk
83.27KB
2024-10-27 16:20:41
elementary-camera-lang-8.0.0-r0.apk
33.59KB
2024-10-27 16:20:41
elementary-feedback-8.0.0-r0.apk
41.89KB
2024-10-27 16:17:36
elementary-feedback-lang-8.0.0-r0.apk
43.47KB
2024-10-27 16:17:36
elementary-icon-theme-8.0.0-r0.apk
5.05MB
2024-10-25 23:06:06
elementary-music-8.0.0-r0.apk
71.45KB
2024-10-29 00:05:58
elementary-music-lang-8.0.0-r0.apk
47.48KB
2024-10-29 00:05:58
elementary-photos-8.0.1-r0.apk
1.10MB
2024-12-03 00:59:40
elementary-photos-lang-8.0.1-r0.apk
1.00MB
2024-12-03 00:59:40
elementary-sound-theme-1.1.0-r0.apk
83.07KB
2024-11-11 00:07:57
elementary-theme-8.1.0-r0.apk
1.50MB
2025-01-12 22:36:02
elementary-videos-8.0.1-r0.apk
106.44KB
2024-11-11 00:06:44
elementary-videos-lang-8.0.1-r0.apk
85.47KB
2024-11-11 00:06:44
elf_diff-0.7.1-r3.apk
108.28KB
2024-10-25 23:06:06
elf_diff-pyc-0.7.1-r3.apk
107.97KB
2024-10-25 23:06:06
elfio-3.12-r0.apk
1.40KB
2024-10-25 23:06:06
elfio-dev-3.12-r0.apk
54.98KB
2024-10-25 23:06:06
eludris-0.3.3-r1.apk
1.73MB
2024-10-25 23:06:06
eludris-doc-0.3.3-r1.apk
2.29KB
2024-10-25 23:06:06
emacs-ace-window-0.10.0_git20220911-r0.apk
22.75KB
2024-10-25 23:06:06
emacs-avy-0.5.0_git20230420-r0.apk
43.42KB
2024-10-25 23:06:06
emacs-avy-embark-collect-1.0_git20240327-r0.apk
3.87KB
2024-10-25 23:06:06
emacs-centaur-tabs-3.2_git20230601-r0.apk
55.05KB
2024-10-25 23:06:06
emacs-closql-1.2.1_git20240712-r0.apk
14.37KB
2024-10-25 23:06:06
emacs-consult-1.4_git20240405-r0.apk
137.76KB
2024-10-25 23:06:06
emacs-derl-0_git20231004-r0.apk
23.48KB
2024-10-25 23:06:06
emacs-elfeed-3.4.1_git20240326-r0.apk
91.31KB
2024-10-25 23:06:06
emacs-emacsql-3.1.1_git20240714-r0.apk
22.60KB
2024-10-25 23:06:06
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
6.06KB
2024-10-25 23:06:06
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
5.85KB
2024-10-25 23:06:06
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
17.18KB
2024-10-25 23:06:06
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
4.29KB
2024-10-25 23:06:06
emacs-embark-1.0_git20240327-r0.apk
109.70KB
2024-10-25 23:06:06
emacs-embark-consult-1.0_git20240327-r0.apk
10.45KB
2024-10-25 23:06:06
emacs-ement-0.15.1-r0.apk
287.28KB
2024-10-25 23:06:06
emacs-epkg-3.3.3_git20240713-r0.apk
36.82KB
2024-10-25 23:06:06
emacs-fossil-0_git20230504-r0.apk
14.63KB
2024-10-25 23:06:06
emacs-gnosis-0.3.2-r0.apk
62.14KB
2024-10-25 23:06:06
emacs-hackernews-0.7.0-r0.apk
15.51KB
2024-10-25 23:06:06
emacs-helm-3.9.7_git20240329-r0.apk
815.15KB
2024-10-25 23:06:06
emacs-hnreader-0_git20221116-r0.apk
9.75KB
2024-10-25 23:06:06
emacs-hydra-0.15.0_git20220910-r0.apk
45.94KB
2024-10-25 23:06:06
emacs-llama-0.3.1_git20240722-r0.apk
9.93KB
2024-10-25 23:06:06
emacs-persist-0.6_git20240114-r0.apk
6.61KB
2024-10-25 23:06:06
emacs-powerline-2.4_git20221110-r0.apk
28.90KB
2024-10-25 23:06:06
emacs-sqlite3-api-0.18-r0.apk
18.27KB
2024-10-25 23:06:06
emacs-svg-lib-0_git20240219-r0.apk
18.97KB
2024-10-25 23:06:06
emacs-taxy-0.10.1-r0.apk
11.44KB
2024-10-25 23:06:06
emacs-taxy-magit-section-0.13-r0.apk
17.29KB
2024-10-25 23:06:06
empede-0.2.3-r0.apk
1.69MB
2024-10-25 23:06:06
empede-doc-0.2.3-r0.apk
2.26KB
2024-10-25 23:06:06
empede-openrc-0.2.3-r0.apk
1.90KB
2024-10-25 23:06:06
endeavour-43.0-r2.apk
177.55KB
2024-12-08 23:40:19
endeavour-dev-43.0-r2.apk
45.58KB
2024-12-08 23:40:19
endeavour-doc-43.0-r2.apk
68.07KB
2024-12-08 23:40:19
endeavour-lang-43.0-r2.apk
203.14KB
2024-12-08 23:40:19
endless-sky-0.10.2-r0.apk
242.18MB
2024-10-25 23:06:10
endless-sky-doc-0.10.2-r0.apk
36.51KB
2024-10-25 23:06:10
endlessh-1.1-r0.apk
9.25KB
2024-10-25 23:06:10
endlessh-doc-1.1-r0.apk
2.40KB
2024-10-25 23:06:10
enjoy-0.3-r1.apk
10.54KB
2024-10-25 23:06:10
enlighten-0.9.2-r1.apk
7.28KB
2024-10-25 23:06:10
enlighten-doc-0.9.2-r1.apk
3.51KB
2024-10-25 23:06:10
envconsul-0.13.2-r8.apk
4.29MB
2024-10-25 23:06:10
envsubst-0.1-r1.apk
4.16KB
2024-10-25 23:06:10
epoch-1.3.0-r2.apk
60.60KB
2024-10-25 23:06:10
epr-2.4.15-r1.apk
15.70KB
2024-10-25 23:06:10
epr-pyc-2.4.15-r1.apk
24.42KB
2024-10-25 23:06:10
ergo-ldap-0.0.1-r12.apk
1.85MB
2024-10-25 23:06:10
ergo-ldap-doc-0.0.1-r12.apk
2.28KB
2024-10-25 23:06:10
espeakup-0.90-r2.apk
10.85KB
2024-10-25 23:06:10
espeakup-openrc-0.90-r2.apk
1.79KB
2024-10-25 23:06:10
esptool-4.8.1-r0.apk
424.01KB
2024-10-25 23:06:10
esptool-pyc-4.8.1-r0.apk
549.34KB
2024-10-25 23:06:10
ettercap-0.8.3.1-r3.apk
560.29KB
2024-10-25 23:06:10
ettercap-doc-0.8.3.1-r3.apk
45.12KB
2024-10-25 23:06:10
eva-0.3.1-r2.apk
622.54KB
2024-10-25 23:06:11
evolution-on-3.24.4-r0.apk
10.19KB
2024-10-30 16:24:45
exabgp-4.2.22-r0.apk
437.43KB
2024-11-30 12:47:58
exabgp-doc-4.2.22-r0.apk
8.05KB
2024-11-30 12:47:58
exabgp-openrc-4.2.22-r0.apk
2.20KB
2024-11-30 12:47:58
exabgp-pyc-4.2.22-r0.apk
891.69KB
2024-11-30 12:47:58
exercism-3.2.0-r7.apk
3.61MB
2024-10-25 23:06:11
exercism-bash-completion-3.2.0-r7.apk
1.99KB
2024-10-25 23:06:11
exercism-fish-completion-3.2.0-r7.apk
2.39KB
2024-10-25 23:06:11
exercism-zsh-completion-3.2.0-r7.apk
2.13KB
2024-10-25 23:06:11
extrace-0.9-r0.apk
10.34KB
2024-10-25 23:06:11
extrace-doc-0.9-r0.apk
3.52KB
2024-10-25 23:06:11
extremetuxracer-0.8.3-r0.apk
39.53MB
2024-10-25 23:06:11
extremetuxracer-doc-0.8.3-r0.apk
6.68KB
2024-10-25 23:06:11
extundelete-0.2.4-r1.apk
41.62KB
2024-10-25 23:06:11
f_scripts-0.6-r1.apk
1.45KB
2024-10-25 23:06:11
f_scripts-f_audio-0.6-r1.apk
3.34KB
2024-10-25 23:06:11
f_scripts-f_files-0.6-r1.apk
2.92KB
2024-10-25 23:06:11
f_scripts-f_game-0.6-r1.apk
1.90KB
2024-10-25 23:06:11
f_scripts-f_maps-0.6-r1.apk
2.11KB
2024-10-25 23:06:11
f_scripts-f_networks-0.6-r1.apk
3.00KB
2024-10-25 23:06:11
f_scripts-f_phone-0.6-r1.apk
6.00KB
2024-10-25 23:06:11
f_scripts-f_rss-0.6-r1.apk
2.53KB
2024-10-25 23:06:11
f_scripts-f_theme-0.6-r1.apk
2.56KB
2024-10-25 23:06:11
f_scripts-f_timer-0.6-r1.apk
2.38KB
2024-10-25 23:06:11
f_scripts-f_web-0.6-r1.apk
2.90KB
2024-10-25 23:06:11
f_scripts-f_youtube-0.6-r1.apk
2.80KB
2024-10-25 23:06:11
fabric-3.2.2-r1.apk
54.63KB
2024-10-25 23:06:11
fabric-pyc-3.2.2-r1.apk
60.15KB
2024-10-25 23:06:11
fakeroot-tcp-1.32.1-r1.apk
29.62KB
2024-10-25 23:06:11
fast_float-5.2.0-r1.apk
42.77KB
2024-10-25 23:06:11
fastd-22-r3.apk
70.37KB
2024-10-25 23:06:11
fastd-doc-22-r3.apk
3.30KB
2024-10-25 23:06:11
fastd-openrc-22-r3.apk
1.74KB
2024-10-25 23:06:11
fatback-1.3-r2.apk
27.06KB
2024-10-25 23:06:11
fatback-doc-1.3-r2.apk
16.08KB
2024-10-25 23:06:11
fathom-1.3.1-r8.apk
4.27MB
2024-10-25 23:06:11
fatrace-0.17.0-r0.apk
10.40KB
2024-10-25 23:06:11
fatrace-doc-0.17.0-r0.apk
3.28KB
2024-10-25 23:06:11
fatresize-1.1.0-r1.apk
8.93KB
2024-10-25 23:06:11
fatresize-doc-1.1.0-r1.apk
15.20KB
2024-10-25 23:06:11
faultstat-0.01.11-r0.apk
13.17KB
2024-10-25 23:06:11
faultstat-bash-completion-0.01.11-r0.apk
2.28KB
2024-10-25 23:06:11
faultstat-doc-0.01.11-r0.apk
3.01KB
2024-10-25 23:06:11
faust-2.60.3-r2.apk
8.02MB
2024-10-25 23:06:12
faust-dev-2.60.3-r2.apk
770.50KB
2024-10-25 23:06:12
faust-doc-2.60.3-r2.apk
16.69MB
2024-10-25 23:06:12
faust-static-2.60.3-r2.apk
542.26KB
2024-10-25 23:06:12
faust-tools-2.60.3-r2.apk
118.76KB
2024-10-25 23:06:12
faust-vim-2.60.3-r2.apk
2.60KB
2024-10-25 23:06:12
fava-1.28-r0.apk
1.07MB
2024-10-25 23:06:12
fava-pyc-1.28-r0.apk
164.10KB
2024-10-25 23:06:12
fbcur-1.0.1-r1.apk
4.35KB
2024-10-25 23:06:12
fbcur-doc-1.0.1-r1.apk
2.17KB
2024-10-25 23:06:12
fbvnc-0_git20220812-r0.apk
9.36KB
2024-10-25 23:06:12
fceux-2.6.6-r2.apk
2.86MB
2024-10-25 23:06:12
fceux-doc-2.6.6-r2.apk
105.83KB
2024-10-25 23:06:12
fdm-materials-5.2.2-r1.apk
59.67KB
2024-10-25 23:06:12
featherpad-1.5.1-r0.apk
682.03KB
2024-10-25 23:06:12
featherpad-lang-1.5.1-r0.apk
462.76KB
2024-10-25 23:06:12
felix-2.15.0-r0.apk
636.72KB
2025-01-02 00:26:55
ff2mpv-rust-1.1.5-r0.apk
218.21KB
2025-01-05 00:30:43
ff2mpv-rust-doc-1.1.5-r0.apk
13.51KB
2025-01-05 00:30:43
fff-2.2-r0.apk
10.74KB
2024-10-25 23:06:12
fff-doc-2.2-r0.apk
8.99KB
2024-10-25 23:06:12
fflas-ffpack-2.5.0-r3.apk
345.33KB
2024-10-25 23:06:12
ffms2-5.0-r0.apk
71.56KB
2024-10-25 23:06:12
ffms2-dev-5.0-r0.apk
7.61KB
2024-10-25 23:06:12
ffms2-doc-5.0-r0.apk
30.28KB
2024-10-25 23:06:12
ffsend-0.2.76-r4.apk
1.55MB
2024-10-25 23:06:12
ffsend-bash-completion-0.2.76-r4.apk
3.59KB
2024-10-25 23:06:12
ffsend-fish-completion-0.2.76-r4.apk
3.55KB
2024-10-25 23:06:12
ffsend-zsh-completion-0.2.76-r4.apk
4.54KB
2024-10-25 23:06:12
fheroes2-1.1.5-r0.apk
1.60MB
2025-01-02 00:21:18
fheroes2-lang-1.1.5-r0.apk
1.68MB
2025-01-02 00:21:18
fildesh-0.2.0-r0.apk
64.45KB
2024-10-25 23:06:12
fildesh-doc-0.2.0-r0.apk
2.10KB
2024-10-25 23:06:12
fildesh-vim-0.2.0-r0.apk
3.55KB
2024-10-25 23:06:12
filebrowser-2.27.0-r6.apk
6.86MB
2024-10-25 23:06:12
filebrowser-openrc-2.27.0-r6.apk
1.85KB
2024-10-25 23:06:12
fileshelter-6.2.0-r2.apk
300.85KB
2024-12-07 02:23:31
fileshelter-openrc-6.2.0-r2.apk
1.64KB
2024-12-07 02:23:31
filite-0.3.0-r2.apk
1.13MB
2024-10-25 23:06:12
findtow-0.1-r0.apk
4.47KB
2024-10-25 23:06:12
finger-0.5-r0.apk
6.40KB
2024-10-25 23:06:12
finger-doc-0.5-r0.apk
3.77KB
2024-10-25 23:06:12
firehol-3.1.7-r2.apk
84.75KB
2024-10-25 23:06:12
firehol-doc-3.1.7-r2.apk
674.59KB
2024-10-25 23:06:12
firehol-openrc-3.1.7-r2.apk
2.05KB
2024-10-25 23:06:12
flamegraph-1.0_git20220918-r1.apk
37.68KB
2024-10-25 23:06:12
flann-1.9.2-r0.apk
1.55MB
2024-10-25 23:06:12
flann-dev-1.9.2-r0.apk
1.34MB
2024-10-25 23:06:12
flann-doc-1.9.2-r0.apk
2.59KB
2024-10-25 23:06:12
flare-engine-1.14-r0.apk
4.41MB
2024-10-25 23:06:12
flare-engine-doc-1.14-r0.apk
2.47KB
2024-10-25 23:06:12
flare-game-1.14-r0.apk
2.19KB
2024-10-25 23:06:12
flashprog-1.3-r0.apk
161.81KB
2024-11-12 17:59:46
flashprog-dev-1.3-r0.apk
235.46KB
2024-11-12 17:59:46
flashprog-doc-1.3-r0.apk
26.05KB
2024-11-12 17:59:46
flashprog-libs-1.3-r0.apk
151.85KB
2024-11-12 17:59:46
flatpak-xdg-utils-1.0.6-r0.apk
18.97KB
2024-10-25 23:06:12
flauschige-uhr-0.1-r1.apk
4.32KB
2024-10-25 23:06:12
flawz-0.3.0-r0.apk
1.23MB
2024-11-03 23:06:34
flawz-bash-completion-0.3.0-r0.apk
2.12KB
2024-11-03 23:06:34
flawz-doc-0.3.0-r0.apk
5.99KB
2024-11-03 23:06:34
flawz-fish-completion-0.3.0-r0.apk
1.91KB
2024-11-03 23:06:34
flawz-zsh-completion-0.3.0-r0.apk
2.23KB
2024-11-03 23:06:34
flint-2.9.0-r2.apk
4.52MB
2024-10-25 23:06:12
flint-dev-2.9.0-r2.apk
311.31KB
2024-10-25 23:06:12
flintqs-1.0-r1.apk
22.45KB
2024-10-25 23:06:12
flowd-0.9.1-r10.apk
83.82KB
2024-10-25 23:06:12
flowd-dev-0.9.1-r10.apk
8.30KB
2024-10-25 23:06:12
flowd-doc-0.9.1-r10.apk
10.10KB
2024-10-25 23:06:12
flowd-openrc-0.9.1-r10.apk
1.87KB
2024-10-25 23:06:12
fnf-0.1-r0.apk
15.01KB
2024-10-25 23:06:12
fnf-doc-0.1-r0.apk
4.57KB
2024-10-25 23:06:12
foma-0.10.0_git20240712-r0.apk
306.49KB
2024-10-25 23:06:12
foma-dev-0.10.0_git20240712-r0.apk
8.47KB
2024-10-25 23:06:12
font-anonymous-pro-1.002-r2.apk
264.48KB
2024-10-25 23:06:12
font-babelstone-han-15.1.3-r0.apk
18.31MB
2024-10-25 23:06:13
font-chivo-0_git20221110-r0.apk
792.35KB
2024-10-25 23:06:13
font-chivo-mono-0_git20221110-r0.apk
625.87KB
2024-10-25 23:06:13
font-comic-neue-2.51-r0.apk
248.77KB
2024-10-25 23:06:13
font-comic-neue-doc-2.51-r0.apk
0.98MB
2024-10-25 23:06:13
font-commit-mono-1.143-r0.apk
251.25KB
2024-10-25 23:06:13
font-cousine-0_git20210228-r0.apk
109.96KB
2024-10-25 23:06:13
font-fantasque-sans-1.8.0-r0.apk
1.23KB
2024-10-25 23:06:13
font-fantasque-sans-doc-1.8.0-r0.apk
5.54KB
2024-10-25 23:06:13
font-fantasque-sans-largelineheight-1.8.0-r0.apk
315.77KB
2024-10-25 23:06:13
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
315.79KB
2024-10-25 23:06:13
font-fantasque-sans-noloopk-1.8.0-r0.apk
315.78KB
2024-10-25 23:06:13
font-fantasque-sans-normal-1.8.0-r0.apk
315.77KB
2024-10-25 23:06:13
font-fira-4.202-r0.apk
1.21KB
2024-10-25 23:06:13
font-fira-code-6.2-r0.apk
836.00KB
2024-10-25 23:06:13
font-fira-code-vf-6.2-r0.apk
145.06KB
2024-10-25 23:06:13
font-fira-otf-4.202-r0.apk
7.38MB
2024-10-25 23:06:13
font-fira-ttf-4.202-r0.apk
6.20MB
2024-10-25 23:06:13
font-firamath-0.3.4-r0.apk
118.32KB
2024-10-25 23:06:13
font-fontawesome-4-4.7.0-r3.apk
205.01KB
2024-10-25 23:06:13
font-hanazono-20170904-r1.apk
28.95MB
2024-10-25 23:06:14
font-intel-one-mono-1.3.0-r0.apk
281.42KB
2024-10-25 23:06:14
font-katex-0.16.2-r0.apk
851.56KB
2024-10-25 23:06:14
font-material-icons-4.0.0-r0.apk
651.85KB
2024-10-25 23:06:14
font-monaspace-1.101-r0.apk
1.46KB
2024-10-25 23:06:14
font-monaspace-argon-1.101-r0.apk
2.21MB
2024-10-25 23:06:14
font-monaspace-krypton-1.101-r0.apk
2.07MB
2024-10-25 23:06:14
font-monaspace-neon-1.101-r0.apk
2.14MB
2024-10-25 23:06:14
font-monaspace-radon-1.101-r0.apk
2.74MB
2024-10-25 23:06:14
font-monaspace-xenon-1.101-r0.apk
2.34MB
2024-10-25 23:06:14
font-monocraft-4.0-r0.apk
676.75KB
2024-10-25 23:06:14
font-openmoji-15.0.0-r0.apk
3.55MB
2024-12-25 00:52:17
font-siji-20190218_git-r2.apk
24.49KB
2024-10-25 23:06:14
font-stix-otf-2.13-r0.apk
2.04MB
2024-10-25 23:06:14
font-stix-ttf-2.13-r0.apk
430.03KB
2024-10-25 23:06:14
font-tamzen-1.11.5-r1.apk
61.86KB
2024-10-25 23:06:14
font-teluguvijayam-20190525-r2.apk
3.74MB
2024-10-25 23:06:14
font-tinos-0_git20210228-r0.apk
198.66KB
2024-10-25 23:06:14
font-tiresias-0_git20200704-r0.apk
568.27KB
2024-10-25 23:06:14
font-tiresias-doc-0_git20200704-r0.apk
58.15KB
2024-10-25 23:06:14
foolsm-1.0.21-r0.apk
32.97KB
2024-10-25 23:06:14
foolsm-doc-1.0.21-r0.apk
3.85KB
2024-10-25 23:06:14
foolsm-openrc-1.0.21-r0.apk
1.55KB
2024-10-25 23:06:14
fox-1.6.57-r0.apk
924.72KB
2024-10-25 23:06:14
fox-adie-1.6.57-r0.apk
117.62KB
2024-10-25 23:06:14
fox-calculator-1.6.57-r0.apk
30.20KB
2024-10-25 23:06:14
fox-dev-1.6.57-r0.apk
1.59MB
2024-10-25 23:06:14
fox-doc-1.6.57-r0.apk
2.01MB
2024-10-25 23:06:14
fox-pathfinder-1.6.57-r0.apk
47.96KB
2024-10-25 23:06:14
fox-shutterbug-1.6.57-r0.apk
18.38KB
2024-10-25 23:06:14
fox-utils-1.6.57-r0.apk
6.58KB
2024-10-25 23:06:14
fpc-3.2.2-r4.apk
68.52MB
2024-10-25 23:06:15
fpc-doc-3.2.2-r4.apk
1.24MB
2024-10-25 23:06:15
fpc-stage0-3.2.2-r3.apk
6.17MB
2024-10-25 23:06:15
fplll-5.5.0-r0.apk
46.50KB
2024-11-20 00:34:15
fplll-dev-5.5.0-r0.apk
77.96KB
2024-11-20 00:34:15
fplll-libs-5.5.0-r0.apk
6.14MB
2024-11-20 00:34:15
fplll-static-5.5.0-r0.apk
7.84MB
2024-11-20 00:34:16
fplll-strategies-5.5.0-r0.apk
1.71MB
2024-11-20 00:34:16
fpp-0.9.5-r0.apk
29.27KB
2024-10-25 23:06:16
fpp-doc-0.9.5-r0.apk
5.60KB
2024-10-25 23:06:16
fq-0.13.0-r0.apk
4.36MB
2024-10-25 23:06:16
freealut-1.1.0-r1.apk
16.74KB
2024-10-25 23:06:16
freealut-dev-1.1.0-r1.apk
21.82KB
2024-10-25 23:06:16
freediameter-1.5.0-r1.apk
9.58KB
2024-10-25 23:06:16
freediameter-dev-1.5.0-r1.apk
53.79KB
2024-10-25 23:06:16
freediameter-extensions-1.5.0-r1.apk
449.97KB
2024-10-25 23:06:16
freediameter-libfdcore-1.5.0-r1.apk
195.25KB
2024-10-25 23:06:16
freediameter-libfdproto-1.5.0-r1.apk
104.39KB
2024-10-25 23:06:16
frescobaldi-3.3.0-r1.apk
3.48MB
2024-10-25 23:06:16
frescobaldi-doc-3.3.0-r1.apk
2.48KB
2024-10-25 23:06:16
frescobaldi-pyc-3.3.0-r1.apk
1.20MB
2024-10-25 23:06:16
freshrss-1.23.1-r1.apk
1.52MB
2024-10-25 23:06:16
freshrss-doc-1.23.1-r1.apk
751.23KB
2024-10-25 23:06:16
freshrss-lang-1.23.1-r1.apk
379.23KB
2024-10-25 23:06:16
freshrss-mysql-1.23.1-r1.apk
1.44KB
2024-10-25 23:06:16
freshrss-openrc-1.23.1-r1.apk
2.50KB
2024-10-25 23:06:16
freshrss-pgsql-1.23.1-r1.apk
1.44KB
2024-10-25 23:06:16
freshrss-sqlite-1.23.1-r1.apk
1.44KB
2024-10-25 23:06:16
freshrss-themes-1.23.1-r1.apk
1.50MB
2024-10-25 23:06:16
fulcrum-1.9.8-r1.apk
854.52KB
2024-10-25 23:06:16
fulcrum-admin-1.9.8-r1.apk
7.91KB
2024-10-25 23:06:16
fulcrum-doc-1.9.8-r1.apk
21.62KB
2024-10-25 23:06:16
fungw-1.2.1-r0.apk
12.12KB
2024-12-30 11:48:01
fungw-c-1.2.1-r0.apk
7.64KB
2024-12-30 11:48:01
fungw-cli-1.2.1-r0.apk
21.57KB
2024-12-30 11:48:01
fungw-dev-1.2.1-r0.apk
7.57KB
2024-12-30 11:48:01
fungw-doc-1.2.1-r0.apk
12.89KB
2024-12-30 11:48:01
fungw-duktape-1.2.1-r0.apk
15.08KB
2024-12-30 11:48:01
fungw-fawk-1.2.1-r0.apk
103.95KB
2024-12-30 11:48:01
fungw-lua-1.2.1-r0.apk
12.93KB
2024-12-30 11:48:01
fungw-mujs-1.2.1-r0.apk
14.41KB
2024-12-30 11:48:01
fungw-perl-1.2.1-r0.apk
46.24KB
2024-12-30 11:48:01
fungw-python3-1.2.1-r0.apk
24.65KB
2024-12-30 11:48:01
fungw-tcl-1.2.1-r0.apk
12.14KB
2024-12-30 11:48:01
fusee-nano-0.5.3-r1.apk
20.50KB
2024-10-25 23:06:16
fusee-nano-udev-0.5.3-r1.apk
1.70KB
2024-10-25 23:06:16
fusesoc-2.3-r0.apk
46.30KB
2024-10-25 23:06:16
fusesoc-pyc-2.3-r0.apk
89.26KB
2024-10-25 23:06:16
fxfloorboard-katana-mk2-20240515-r1.apk
5.54MB
2024-10-25 23:06:16
fxfloorboard-katana-mk2-doc-20240515-r1.apk
1.09MB
2024-10-25 23:06:16
fyi-1.0.4-r0.apk
9.82KB
2024-10-25 23:06:16
fyi-bash-completion-1.0.4-r0.apk
1.77KB
2024-10-25 23:06:16
fyi-doc-1.0.4-r0.apk
7.01KB
2024-10-25 23:06:16
fyi-fish-completion-1.0.4-r0.apk
2.18KB
2024-10-25 23:06:16
fzy-1.0-r3.apk
11.93KB
2024-10-25 23:06:16
fzy-doc-1.0-r3.apk
2.73KB
2024-10-25 23:06:16
gambit-4.9.5-r0.apk
7.48MB
2024-10-25 23:06:16
gambit-dev-4.9.5-r0.apk
6.65MB
2024-10-25 23:06:16
gambit-doc-4.9.5-r0.apk
4.34KB
2024-10-25 23:06:16
game-devices-udev-0.23-r0.apk
6.16KB
2024-11-12 12:58:22
gamemode-0_git20240327-r0.apk
73.31KB
2024-10-25 23:06:16
gamemode-dev-0_git20240327-r0.apk
5.23KB
2024-10-25 23:06:16
gamemode-doc-0_git20240327-r0.apk
7.59KB
2024-10-25 23:06:16
gamescope-3.16.1-r0.apk
1.15MB
2025-01-10 14:11:14
gamja-1.0.0_beta9-r0.apk
599.17KB
2024-10-25 23:06:16
gamja-doc-1.0.0_beta9-r0.apk
2.21KB
2024-10-25 23:06:16
gammastep-2.0.9-r3.apk
88.94KB
2024-10-25 23:06:16
gammastep-doc-2.0.9-r3.apk
14.06KB
2024-10-25 23:06:16
gammastep-lang-2.0.9-r3.apk
77.53KB
2024-10-25 23:06:16
gammastep-pyc-2.0.9-r3.apk
16.88KB
2024-10-25 23:06:16
gatling-0.16-r6.apk
151.00KB
2024-10-25 23:06:16
gatling-doc-0.16-r6.apk
9.08KB
2024-10-25 23:06:16
gatling-openrc-0.16-r6.apk
2.78KB
2024-10-25 23:06:16
gaupol-1.12-r2.apk
276.17KB
2024-10-25 23:06:16
gaupol-doc-1.12-r2.apk
2.37KB
2024-10-25 23:06:16
gaupol-lang-1.12-r2.apk
276.68KB
2024-10-25 23:06:16
gaupol-pyc-1.12-r2.apk
419.24KB
2024-10-25 23:06:16
gb-0.4.4-r26.apk
6.12MB
2024-10-25 23:06:16
gcli-2.5.0-r1.apk
114.81KB
2025-01-02 00:26:55
gcli-doc-2.5.0-r1.apk
27.94KB
2025-01-02 00:26:55
gdb-dashboard-0.17.3-r0.apk
22.67KB
2024-12-25 00:53:17
gdb-dashboard-doc-0.17.3-r0.apk
3.03KB
2024-12-25 00:53:17
gearman-dev-1.1.21-r1.apk
1.00MB
2024-10-25 23:06:16
gearman-libs-1.1.21-r1.apk
71.44KB
2024-10-25 23:06:16
gearmand-1.1.21-r1.apk
164.97KB
2024-10-25 23:06:16
gearmand-doc-1.1.21-r1.apk
189.72KB
2024-10-25 23:06:16
gearmand-openrc-1.1.21-r1.apk
1.83KB
2024-10-25 23:06:16
gede-2.18.2-r1.apk
254.39KB
2024-10-25 23:06:16
genact-1.4.2-r0.apk
1.36MB
2024-10-25 23:06:16
geoclue-stumbler-0.2.0-r0.apk
26.70KB
2024-12-31 14:56:34
geodns-3.3.0-r8.apk
4.29MB
2024-10-25 23:06:17
geodns-logs-3.3.0-r8.apk
3.88MB
2024-10-25 23:06:17
geodns-openrc-3.3.0-r8.apk
1.80KB
2024-10-25 23:06:17
geomyidae-0.34-r2.apk
13.50KB
2024-10-25 23:06:17
geomyidae-doc-0.34-r2.apk
7.65KB
2024-10-25 23:06:17
geomyidae-openrc-0.34-r2.apk
1.99KB
2024-10-25 23:06:17
geonames-0.3.1-r2.apk
826.52KB
2024-10-25 23:06:17
geonames-dev-0.3.1-r2.apk
3.02KB
2024-10-25 23:06:17
geonames-doc-0.3.1-r2.apk
12.60KB
2024-10-25 23:06:17
geonames-lang-0.3.1-r2.apk
4.58MB
2024-10-25 23:06:17
getmail6-6.19.05-r0.apk
71.17KB
2024-10-25 23:06:17
getmail6-doc-6.19.05-r0.apk
138.00KB
2024-10-25 23:06:17
getmail6-pyc-6.19.05-r0.apk
102.32KB
2024-10-25 23:06:17
getssl-2.48-r0.apk
82.17KB
2024-10-25 23:06:17
getting-things-gnome-0.6-r4.apk
714.92KB
2024-12-08 23:40:19
getting-things-gnome-doc-0.6-r4.apk
497.39KB
2024-12-08 23:40:19
getting-things-gnome-lang-0.6-r4.apk
228.72KB
2024-12-08 23:40:19
gf2x-1.3.0-r1.apk
37.41KB
2024-10-25 23:06:17
gf2x-dev-1.3.0-r1.apk
58.29KB
2024-10-25 23:06:17
ghc-filesystem-1.5.14-r0.apk
38.61KB
2024-10-25 23:06:17
ghostcloud-0.9.9.5-r2.apk
424.95KB
2024-10-25 23:06:17
ghq-1.7.1-r0.apk
3.42MB
2024-12-07 22:22:51
ghq-bash-completion-1.7.1-r0.apk
1.73KB
2024-12-07 22:22:51
ghq-doc-1.7.1-r0.apk
5.37KB
2024-12-07 22:22:51
ghq-fish-completion-1.7.1-r0.apk
2.42KB
2024-12-07 22:22:51
ghq-zsh-completion-1.7.1-r0.apk
2.40KB
2024-12-07 22:22:51
gimp-plugin-gmic-3.3.5-r1.apk
1.24MB
2024-11-22 00:50:54
ginac-1.8.7-r1.apk
1.04MB
2024-10-25 23:06:17
ginac-dev-1.8.7-r1.apk
69.90KB
2024-10-25 23:06:17
ginac-doc-1.8.7-r1.apk
97.97KB
2024-10-25 23:06:17
ginger-2.4.0-r7.apk
257.06KB
2024-10-25 23:06:17
ginger-lang-2.4.0-r7.apk
125.44KB
2024-10-25 23:06:17
ginger-pyc-2.4.0-r7.apk
206.84KB
2024-10-25 23:06:17
gingerbase-2.3.0-r7.apk
195.23KB
2024-10-25 23:06:17
gingerbase-lang-2.3.0-r7.apk
52.86KB
2024-10-25 23:06:17
gingerbase-pyc-2.3.0-r7.apk
61.47KB
2024-10-25 23:06:17
git-bug-0.8.0-r14.apk
8.57MB
2024-10-25 23:06:17
git-bug-bash-completion-0.8.0-r14.apk
5.19KB
2024-10-25 23:06:17
git-bug-doc-0.8.0-r14.apk
17.47KB
2024-10-25 23:06:17
git-bug-fish-completion-0.8.0-r14.apk
3.93KB
2024-10-25 23:06:17
git-bug-zsh-completion-0.8.0-r14.apk
4.00KB
2024-10-25 23:06:17
git-extras-7.3.0-r0.apk
55.37KB
2024-11-20 00:34:16
git-extras-bash-completion-7.3.0-r0.apk
2.82KB
2024-11-20 00:34:16
git-extras-doc-7.3.0-r0.apk
63.35KB
2024-11-20 00:34:16
git-graph-0.6.0-r0.apk
862.21KB
2024-11-26 01:38:26
git-graph-doc-0.6.0-r0.apk
6.24KB
2024-11-26 01:38:26
git-quick-stats-2.5.8-r0.apk
12.38KB
2024-10-25 23:06:17
git-quick-stats-doc-2.5.8-r0.apk
2.88KB
2024-10-25 23:06:17
git-revise-0.7.0-r5.apk
24.30KB
2024-10-25 23:06:17
git-revise-doc-0.7.0-r5.apk
4.96KB
2024-10-25 23:06:17
git-revise-pyc-0.7.0-r5.apk
42.19KB
2024-10-25 23:06:17
git-secret-0.5.0-r0.apk
14.76KB
2024-10-25 23:06:17
git-secret-doc-0.5.0-r0.apk
17.07KB
2024-10-25 23:06:17
git2json-0.2.3-r8.apk
7.42KB
2024-10-25 23:06:17
git2json-pyc-0.2.3-r8.apk
5.69KB
2024-10-25 23:06:17
gkrellm-2.3.11-r0.apk
366.86KB
2025-01-09 00:36:44
gkrellm-dev-2.3.11-r0.apk
16.50KB
2025-01-09 00:36:44
gkrellm-doc-2.3.11-r0.apk
18.53KB
2025-01-09 00:36:44
gkrellm-lang-2.3.11-r0.apk
379.12KB
2025-01-09 00:36:44
gkrellm-server-2.3.11-r0.apk
51.93KB
2025-01-09 00:36:44
gliderlabs-sigil-0.11.0-r0.apk
2.80MB
2024-10-25 23:06:17
gliderlabs-sigil-doc-0.11.0-r0.apk
2.41KB
2024-10-25 23:06:17
glmark2-2023.01-r1.apk
8.00MB
2024-10-25 23:06:17
glmark2-doc-2023.01-r1.apk
12.81KB
2024-10-25 23:06:17
gloox-1.0.28-r0.apk
352.13KB
2024-10-25 23:06:17
gloox-dev-1.0.28-r0.apk
928.47KB
2024-10-25 23:06:17
glow-2.0.0-r0.apk
5.49MB
2024-10-25 23:06:17
glow-bash-completion-2.0.0-r0.apk
5.05KB
2024-10-25 23:06:17
glow-doc-2.0.0-r0.apk
3.18KB
2024-10-25 23:06:17
glow-fish-completion-2.0.0-r0.apk
4.31KB
2024-10-25 23:06:17
glow-zsh-completion-2.0.0-r0.apk
4.04KB
2024-10-25 23:06:17
glslviewer-3.2.4-r0.apk
1.64MB
2024-10-25 23:06:17
gmcapsule-0.9.7-r0.apk
36.04KB
2025-01-08 21:12:56
gmcapsule-openrc-0.9.7-r0.apk
1.92KB
2025-01-08 21:12:56
gmcapsule-pyc-0.9.7-r0.apk
60.63KB
2025-01-08 21:12:56
gmenuharness-0.1.4-r1.apk
34.28KB
2024-10-25 23:06:17
gmenuharness-dev-0.1.4-r1.apk
4.26KB
2024-10-25 23:06:17
gmic-3.3.5-r1.apk
11.29MB
2024-11-22 00:50:54
gmic-bash-completion-3.3.5-r1.apk
28.40KB
2024-11-22 00:50:54
gmic-dev-3.3.5-r1.apk
7.68KB
2024-11-22 00:50:54
gmic-doc-3.3.5-r1.apk
218.82KB
2024-11-22 00:50:54
gmic-libs-3.3.5-r1.apk
4.24MB
2024-11-22 00:50:55
gmic-qt-3.3.5-r1.apk
1.57MB
2024-11-22 00:50:55
gmid-2.1.1-r0.apk
206.92KB
2024-11-27 21:26:06
gmid-doc-2.1.1-r0.apk
14.44KB
2024-11-27 21:26:06
gmid-openrc-2.1.1-r0.apk
2.20KB
2024-11-27 21:26:06
gnome-common-3.18.0-r3.apk
11.53KB
2024-10-25 23:06:18
gnome-latex-3.47.0-r2.apk
358.83KB
2024-12-12 21:26:07
gnome-latex-doc-3.47.0-r2.apk
105.04KB
2024-12-12 21:26:07
gnome-latex-lang-3.47.0-r2.apk
530.33KB
2024-12-12 21:26:07
gnome-metronome-1.3.0-r0.apk
456.86KB
2024-10-25 23:06:18
gnome-metronome-lang-1.3.0-r0.apk
24.68KB
2024-10-25 23:06:18
gnome-user-share-47.0-r0.apk
13.58KB
2024-10-25 23:06:18
gnome-user-share-lang-47.0-r0.apk
66.26KB
2024-10-25 23:06:18
gnu-apl-1.9-r0.apk
1.30MB
2024-10-25 23:06:18
gnu-apl-dev-1.9-r0.apk
584.91KB
2024-10-25 23:06:18
gnu-apl-doc-1.9-r0.apk
1.56MB
2024-10-25 23:06:18
gnucash-5.10-r0.apk
8.17MB
2024-12-27 13:35:22
gnucash-dev-5.10-r0.apk
302.26KB
2024-12-27 13:35:22
gnucash-doc-5.10-r0.apk
1.38MB
2024-12-27 13:35:22
gnucash-lang-5.10-r0.apk
8.16MB
2024-12-27 13:35:23
go-jsonnet-0.20.0-r9.apk
5.51MB
2024-10-25 23:06:18
go-mtpfs-1.0.0-r22.apk
1.07MB
2024-10-25 23:06:18
go-passbolt-cli-0.3.1-r3.apk
6.21MB
2024-10-25 23:06:18
gobang-0.1.0_alpha5-r1.apk
1.74MB
2024-10-25 23:06:18
gobuster-3.6.0-r7.apk
3.06MB
2024-10-25 23:06:18
gomp-1.0.0-r7.apk
3.14MB
2024-10-25 23:06:19
goomwwm-1.0.0-r5.apk
45.46KB
2024-10-25 23:06:19
goreman-0.3.15-r8.apk
1.90MB
2024-10-25 23:06:19
gortr-0.14.8-r8.apk
9.05MB
2024-10-25 23:06:19
gortr-openrc-0.14.8-r8.apk
1.98KB
2024-10-25 23:06:19
goshs-1.0.1-r0.apk
5.43MB
2024-12-24 13:08:26
goshs-doc-1.0.1-r0.apk
2.21KB
2024-12-24 13:08:26
gost-2.12.0-r0.apk
5.20MB
2025-01-11 13:21:29
gost-doc-2.12.0-r0.apk
2.19KB
2025-01-11 13:21:29
gosu-1.17-r5.apk
0.99MB
2024-10-25 23:06:19
gotestsum-1.12.0-r2.apk
2.17MB
2024-10-25 23:06:19
gotify-2.5.0-r1.apk
9.33MB
2025-01-10 11:42:02
gotify-cli-2.3.2-r0.apk
3.75MB
2024-10-25 23:06:19
gotify-openrc-2.5.0-r1.apk
1.94KB
2025-01-10 11:42:02
goxel-0.15.1-r0.apk
1.64MB
2024-10-25 23:06:19
gpa-0.10.0-r2.apk
209.89KB
2024-10-25 23:06:19
gpa-doc-0.10.0-r2.apk
2.85KB
2024-10-25 23:06:19
gpg-remailer-3.04.07-r1.apk
49.79KB
2024-10-25 23:06:19
gpg-remailer-doc-3.04.07-r1.apk
9.48KB
2024-10-25 23:06:19
gprbuild-22.0.0-r3.apk
13.41MB
2024-10-25 23:06:19
gpscorrelate-2.2-r0.apk
43.89KB
2024-11-12 13:07:00
gpscorrelate-cli-2.2-r0.apk
21.95KB
2024-11-12 13:07:00
gpscorrelate-doc-2.2-r0.apk
227.93KB
2024-11-12 13:07:00
gpscorrelate-lang-2.2-r0.apk
17.04KB
2024-11-12 13:07:00
granite7-7.5.0-r0.apk
113.85KB
2024-10-25 23:06:19
granite7-dev-7.5.0-r0.apk
40.02KB
2024-10-25 23:06:19
granite7-lang-7.5.0-r0.apk
51.97KB
2024-10-25 23:06:19
grass-0.13.4-r0.apk
836.42KB
2024-10-25 23:06:19
grcov-0.8.20-r0.apk
1.96MB
2024-11-11 12:00:53
greetd-mini-wl-greeter-0_git20230821-r0.apk
18.54KB
2024-10-25 23:06:19
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2.17KB
2024-10-25 23:06:19
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
3.25KB
2024-10-25 23:06:19
greetd-wlgreet-0.5.0-r0.apk
611.46KB
2024-10-25 23:06:19
grip-4.2.4-r0.apk
377.41KB
2024-10-25 23:06:19
grip-doc-4.2.4-r0.apk
6.18KB
2024-10-25 23:06:19
grip-lang-4.2.4-r0.apk
144.42KB
2024-10-25 23:06:19
grlx-1.0.5-r0.apk
14.07MB
2024-10-25 23:06:20
grlx-farmer-1.0.5-r0.apk
13.21MB
2024-10-25 23:06:20
grlx-farmer-openrc-1.0.5-r0.apk
1.63KB
2024-10-25 23:06:20
grlx-sprout-1.0.5-r0.apk
6.55MB
2024-10-25 23:06:20
grlx-sprout-openrc-1.0.5-r0.apk
1.62KB
2024-10-25 23:06:20
gron-0.7.1-r19.apk
2.17MB
2024-10-25 23:06:21
grpcui-1.4.2-r0.apk
8.02MB
2024-12-01 17:56:52
grpcurl-1.9.2-r0.apk
7.60MB
2024-12-14 21:18:30
gsettings-qt-0.2_git20220807-r1.apk
40.60KB
2024-10-25 23:06:21
gsettings-qt-dev-0.2_git20220807-r1.apk
3.58KB
2024-10-25 23:06:21
gsimplecal-2.5.1-r0.apk
16.46KB
2024-10-25 23:06:21
gsimplecal-doc-2.5.1-r0.apk
5.78KB
2024-10-25 23:06:21
gssdp-1.6.3-r0.apk
43.29KB
2024-12-01 14:57:31
gssdp-dev-1.6.3-r0.apk
15.45KB
2024-12-01 14:57:31
gst-rtsp-server-1.24.10-r0.apk
228.16KB
2024-12-05 14:45:02
gst-rtsp-server-dev-1.24.10-r0.apk
93.44KB
2024-12-05 14:45:02
gstreamermm-1.10.0-r4.apk
407.19KB
2024-10-25 23:06:21
gstreamermm-dev-1.10.0-r4.apk
320.22KB
2024-10-25 23:06:21
gtk4-layer-shell-1.0.4-r1.apk
13.76KB
2024-11-08 08:45:36
gtk4-layer-shell-demo-1.0.4-r1.apk
9.51KB
2024-11-08 08:45:36
gtk4-layer-shell-dev-1.0.4-r1.apk
9.04KB
2024-11-08 08:45:36
gtk4-layer-shell-doc-1.0.4-r1.apk
2.23KB
2024-11-08 08:45:36
gtkhash-1.5-r0.apk
85.80KB
2024-10-25 23:06:21
gtkhash-lang-1.5-r0.apk
46.63KB
2024-10-25 23:06:21
gtklock-2.1.0-r0.apk
15.62KB
2024-10-25 23:06:21
gtklock-doc-2.1.0-r0.apk
2.88KB
2024-10-25 23:06:21
gtkwave-3.3.120-r0.apk
2.52MB
2024-10-25 23:06:21
gtkwave-doc-3.3.120-r0.apk
27.12KB
2024-10-25 23:06:21
guake-3.10-r1.apk
305.32KB
2024-10-25 23:06:21
guake-lang-3.10-r1.apk
187.80KB
2024-10-25 23:06:21
guake-pyc-3.10-r1.apk
185.92KB
2024-10-25 23:06:21
guestfs-tools-1.52.0-r1.apk
286.65KB
2024-10-25 23:06:21
guetzli-0_git20191025-r1.apk
124.67KB
2024-10-25 23:06:21
guetzli-dev-0_git20191025-r1.apk
2.13MB
2024-10-25 23:06:21
gufw-24.04-r3.apk
596.48KB
2024-11-20 00:34:16
gufw-doc-24.04-r3.apk
4.48KB
2024-11-20 00:34:16
gufw-lang-24.04-r3.apk
854.59KB
2024-11-20 00:34:16
gufw-pyc-24.04-r3.apk
64.57KB
2024-11-20 00:34:16
guish-2.6.11-r0.apk
88.10KB
2024-12-24 12:42:32
guish-doc-2.6.11-r0.apk
61.29KB
2024-12-24 12:42:32
gupnp-1.6.7-r0.apk
84.02KB
2024-12-01 14:57:31
gupnp-av-0.14.1-r0.apk
73.97KB
2024-12-01 14:57:31
gupnp-av-dev-0.14.1-r0.apk
40.86KB
2024-12-01 14:57:31
gupnp-dev-1.6.7-r0.apk
50.15KB
2024-12-01 14:57:31
gupnp-dlna-0.12.0-r0.apk
61.25KB
2024-12-01 14:57:31
gupnp-dlna-dev-0.12.0-r0.apk
24.25KB
2024-12-01 14:57:31
gupnp-doc-1.6.7-r0.apk
3.74KB
2024-12-01 14:57:31
gutenprint-5.3.4-r5.apk
820.73KB
2024-10-25 23:06:21
gutenprint-cups-5.3.4-r5.apk
48.72MB
2024-10-25 23:06:22
gutenprint-dev-5.3.4-r5.apk
35.73KB
2024-10-25 23:06:22
gutenprint-doc-5.3.4-r5.apk
8.41KB
2024-10-25 23:06:22
gutenprint-lang-5.3.4-r5.apk
1.87MB
2024-10-25 23:06:22
gutenprint-libs-5.3.4-r5.apk
494.21KB
2024-10-25 23:06:22
gutenprint-samples-5.3.4-r5.apk
636.41KB
2024-10-25 23:06:22
gutenprint-static-5.3.4-r5.apk
712.57KB
2024-10-25 23:06:22
gx-0.14.3-r25.apk
4.21MB
2024-10-25 23:06:22
gx-doc-0.14.3-r25.apk
2.27KB
2024-10-25 23:06:22
gx-go-1.9.0-r27.apk
4.45MB
2024-10-25 23:06:22
gx-go-doc-1.9.0-r27.apk
2.27KB
2024-10-25 23:06:22
h4h5tools-2.2.5-r4.apk
123.06KB
2024-10-25 23:06:22
h4h5tools-dev-2.2.5-r4.apk
8.75KB
2024-10-25 23:06:22
h4h5tools-doc-2.2.5-r4.apk
2.72KB
2024-10-25 23:06:22
h4h5tools-static-2.2.5-r4.apk
115.00KB
2024-10-25 23:06:22
habitctl-0.1.0-r2.apk
329.54KB
2024-10-25 23:06:22
halp-0.2.0-r0.apk
929.63KB
2024-10-25 23:06:22
halp-bash-completion-0.2.0-r0.apk
2.18KB
2024-10-25 23:06:22
halp-doc-0.2.0-r0.apk
6.88KB
2024-10-25 23:06:22
halp-fish-completion-0.2.0-r0.apk
1.95KB
2024-10-25 23:06:22
halp-zsh-completion-0.2.0-r0.apk
2.40KB
2024-10-25 23:06:22
hamster-time-tracker-3.0.3-r2.apk
155.83KB
2024-10-25 23:06:22
hamster-time-tracker-bash-completion-3.0.3-r2.apk
1.97KB
2024-10-25 23:06:22
hamster-time-tracker-doc-3.0.3-r2.apk
116.20KB
2024-10-25 23:06:22
hamster-time-tracker-lang-3.0.3-r2.apk
205.70KB
2024-10-25 23:06:22
hamster-time-tracker-pyc-3.0.3-r2.apk
358.13KB
2024-10-25 23:06:22
handlebars-1.0.0-r1.apk
96.68KB
2024-10-25 23:06:22
handlebars-dev-1.0.0-r1.apk
32.39KB
2024-10-25 23:06:22
handlebars-utils-1.0.0-r1.apk
9.51KB
2024-10-25 23:06:22
haproxy-dataplaneapi-3.0.1-r0.apk
10.89MB
2024-10-25 23:06:22
haproxy-dataplaneapi-openrc-3.0.1-r0.apk
2.14KB
2024-10-25 23:06:22
harminv-1.4.2-r1.apk
7.44KB
2024-10-25 23:06:22
harminv-dev-1.4.2-r1.apk
3.10KB
2024-10-25 23:06:22
harminv-doc-1.4.2-r1.apk
5.64KB
2024-10-25 23:06:22
harminv-libs-1.4.2-r1.apk
11.78KB
2024-10-25 23:06:22
hashcat-6.2.6-r0.apk
55.07MB
2024-10-25 23:06:23
hashcat-doc-6.2.6-r0.apk
2.15MB
2024-10-25 23:06:23
hatop-0.8.2-r0.apk
17.96KB
2024-10-25 23:06:23
hatop-doc-0.8.2-r0.apk
2.99KB
2024-10-25 23:06:23
haxe-4.3.6-r0.apk
9.82MB
2024-11-29 08:11:14
haxe-doc-4.3.6-r0.apk
7.75KB
2024-11-29 08:11:14
hctl-0.2.6-r0.apk
1.25MB
2024-10-25 23:06:23
hddfancontrol-1.6.2-r0.apk
32.84KB
2024-10-25 23:06:23
hddfancontrol-openrc-1.6.2-r0.apk
2.18KB
2024-10-25 23:06:23
hddfancontrol-pyc-1.6.2-r0.apk
34.03KB
2024-10-25 23:06:23
hdf4-4.2.15-r2.apk
269.74KB
2024-10-25 23:06:23
hdf4-dev-4.2.15-r2.apk
100.85KB
2024-10-25 23:06:23
hdf4-doc-4.2.15-r2.apk
6.01KB
2024-10-25 23:06:23
hdf4-tools-4.2.15-r2.apk
186.84KB
2024-10-25 23:06:23
heh-0.6.1-r0.apk
484.19KB
2024-10-25 23:06:24
heh-doc-0.6.1-r0.apk
4.07KB
2024-10-25 23:06:24
heisenbridge-1.14.6-r0.apk
66.58KB
2024-10-25 23:06:24
heisenbridge-pyc-1.14.6-r0.apk
151.98KB
2024-10-25 23:06:24
helm-ls-0.0.12-r4.apk
10.72MB
2024-10-25 23:06:24
helm-ls-doc-0.0.12-r4.apk
2.25KB
2024-10-25 23:06:24
helm-mapkubeapis-0.5.2-r0.apk
19.13MB
2025-01-11 00:50:28
herbe-1.0.0-r0.apk
5.62KB
2024-10-25 23:06:24
hex-0.6.0-r0.apk
300.75KB
2024-10-25 23:06:24
hexdiff-0.0.53-r2.apk
14.49KB
2024-10-25 23:06:24
hexdiff-doc-0.0.53-r2.apk
3.73KB
2024-10-25 23:06:24
hexedit-1.6_git20230905-r0.apk
18.34KB
2024-10-25 23:06:24
hexedit-doc-1.6_git20230905-r0.apk
5.57KB
2024-10-25 23:06:24
hexer-1.4.0-r16.apk
56.37KB
2024-11-08 01:41:36
hexer-dev-1.4.0-r16.apk
7.32KB
2024-11-08 01:41:36
hfst-3.16.0-r2.apk
1.29MB
2024-10-25 23:06:24
hfst-dev-3.16.0-r2.apk
212.53KB
2024-10-25 23:06:24
hfst-doc-3.16.0-r2.apk
71.06KB
2024-10-25 23:06:24
hfst-libs-3.16.0-r2.apk
1.60MB
2024-10-25 23:06:24
hiawatha-11.6-r0.apk
165.80KB
2024-10-25 23:06:24
hiawatha-doc-11.6-r0.apk
21.09KB
2024-10-25 23:06:24
hiawatha-letsencrypt-11.6-r0.apk
17.57KB
2024-10-25 23:06:24
hiawatha-openrc-11.6-r0.apk
1.68KB
2024-10-25 23:06:24
hidrd-0.2.0_git20190603-r1.apk
65.77KB
2024-10-25 23:06:24
hidrd-dev-0.2.0_git20190603-r1.apk
120.26KB
2024-10-25 23:06:24
hikari-2.3.3-r6.apk
933.79KB
2024-10-25 23:06:24
hikari-doc-2.3.3-r6.apk
13.56KB
2024-10-25 23:06:24
hikari-unlocker-2.3.3-r6.apk
3.88KB
2024-10-25 23:06:24
hilbish-2.3.4-r0.apk
3.23MB
2025-01-02 00:26:55
hilbish-doc-2.3.4-r0.apk
24.87KB
2025-01-02 00:26:55
hiprompt-gtk-py-0.8.0-r0.apk
8.25KB
2024-10-25 23:06:24
hitide-0.15.0-r0.apk
2.06MB
2024-10-25 23:06:24
hitide-openrc-0.15.0-r0.apk
2.11KB
2024-10-25 23:06:24
homebank-5.8.6-r0.apk
1.86MB
2025-01-06 01:12:48
homebank-lang-5.8.6-r0.apk
919.86KB
2025-01-06 01:12:48
hopalong-0.1-r3.apk
19.86KB
2024-10-25 23:06:24
horizon-0.9.6-r9.apk
198.60KB
2024-10-25 23:06:24
horizon-dbg-0.9.6-r9.apk
4.03MB
2024-10-25 23:06:24
horizon-dev-0.9.6-r9.apk
4.88KB
2024-10-25 23:06:24
horizon-doc-0.9.6-r9.apk
21.45KB
2024-10-25 23:06:24
horizon-image-0.9.6-r9.apk
63.07KB
2024-10-25 23:06:24
horizon-tools-0.9.6-r9.apk
71.74KB
2024-10-25 23:06:24
horust-0.1.7-r2.apk
1.04MB
2024-10-25 23:06:24
horust-doc-0.1.7-r2.apk
9.17KB
2024-10-25 23:06:24
howard-bc-7.0.3-r0.apk
64.34KB
2024-10-25 23:06:24
howard-bc-doc-7.0.3-r0.apk
38.66KB
2024-10-25 23:06:24
hping3-20051105-r4.apk
67.82KB
2024-10-25 23:06:24
hping3-doc-20051105-r4.apk
16.69KB
2024-10-25 23:06:24
hpnssh-18.4.1-r0.apk
2.17MB
2024-10-25 23:06:24
hpnssh-doc-18.4.1-r0.apk
98.24KB
2024-10-25 23:06:24
hsetroot-1.0.5-r1.apk
11.25KB
2024-10-25 23:06:24
hstdb-2.1.0-r2.apk
899.56KB
2024-10-25 23:06:24
htmlcxx-0.87-r1.apk
57.64KB
2024-10-25 23:06:24
htmlcxx-dev-0.87-r1.apk
20.62KB
2024-10-25 23:06:24
htmldoc-1.9.20-r0.apk
2.34MB
2024-12-10 17:44:31
htmldoc-doc-1.9.20-r0.apk
98.01KB
2024-12-10 17:44:31
htslib-1.19-r0.apk
373.30KB
2024-10-25 23:06:24
htslib-dev-1.19-r0.apk
115.29KB
2024-10-25 23:06:24
htslib-doc-1.19-r0.apk
22.63KB
2024-10-25 23:06:24
htslib-static-1.19-r0.apk
461.15KB
2024-10-25 23:06:24
htslib-tools-1.19-r0.apk
1.20MB
2024-10-25 23:06:24
httpie-oauth-1.0.2-r9.apk
3.36KB
2024-10-25 23:06:24
httpie-oauth-pyc-1.0.2-r9.apk
2.31KB
2024-10-25 23:06:24
httpx-1.6.9-r1.apk
12.62MB
2024-12-01 19:52:55
httpx-doc-1.6.9-r1.apk
2.22KB
2024-12-01 19:52:55
httrack-3.49.2-r5.apk
747.18KB
2024-10-25 23:06:24
httrack-doc-3.49.2-r5.apk
527.96KB
2024-10-25 23:06:24
hub-2.14.2-r26.apk
2.50MB
2024-10-25 23:06:24
hub-bash-completion-2.14.2-r26.apk
4.58KB
2024-10-25 23:06:24
hub-doc-2.14.2-r26.apk
43.42KB
2024-10-25 23:06:24
hub-fish-completion-2.14.2-r26.apk
3.29KB
2024-10-25 23:06:24
hub-zsh-completion-2.14.2-r26.apk
3.70KB
2024-10-25 23:06:24
hubble-cli-0.13.6-r0.apk
17.16MB
2024-10-25 23:06:25
hubble-cli-bash-completion-0.13.6-r0.apk
5.06KB
2024-10-25 23:06:25
hubble-cli-fish-completion-0.13.6-r0.apk
4.33KB
2024-10-25 23:06:25
hubble-cli-zsh-completion-0.13.6-r0.apk
4.05KB
2024-10-25 23:06:25
hunspell-ca-es-3.0.7-r0.apk
731.25KB
2024-10-25 23:06:25
hunspell-es-ar-2.7-r0.apk
226.49KB
2024-10-25 23:06:25
hunspell-es-ar-doc-2.7-r0.apk
2.82KB
2024-10-25 23:06:25
hurl-6.0.0-r0.apk
1.82MB
2024-12-07 22:18:51
hurl-bash-completion-6.0.0-r0.apk
2.20KB
2024-12-07 22:18:51
hurl-doc-6.0.0-r0.apk
8.61KB
2024-12-07 22:18:51
hurl-fish-completion-6.0.0-r0.apk
3.42KB
2024-12-07 22:18:51
hurl-zsh-completion-6.0.0-r0.apk
3.89KB
2024-12-07 22:18:51
hurlfmt-6.0.0-r0.apk
877.01KB
2024-12-07 22:18:51
hw-probe-1.6.5-r2.apk
117.20KB
2024-10-25 23:06:25
hwatch-0.3.11-r0.apk
0.99MB
2024-10-25 23:06:25
hwatch-doc-0.3.11-r0.apk
3.02KB
2024-10-25 23:06:25
hwatch-fish-completion-0.3.11-r0.apk
1.79KB
2024-10-25 23:06:25
hwatch-zsh-completion-0.3.11-r0.apk
1.90KB
2024-10-25 23:06:25
hx-1.0.15-r0.apk
14.23KB
2024-10-25 23:06:25
hx-doc-1.0.15-r0.apk
4.78KB
2024-10-25 23:06:25
hy-1.0.0-r0.apk
85.30KB
2024-10-25 23:06:25
hy-pyc-1.0.0-r0.apk
169.11KB
2024-10-25 23:06:25
hyfetch-1.99.0-r1.apk
432.92KB
2024-10-25 23:06:25
hyfetch-bash-completion-1.99.0-r1.apk
3.28KB
2024-10-25 23:06:25
hyfetch-doc-1.99.0-r1.apk
16.51KB
2024-10-25 23:06:25
hyfetch-pyc-1.99.0-r1.apk
180.00KB
2024-10-25 23:06:25
hyfetch-zsh-completion-1.99.0-r1.apk
2.52KB
2024-10-25 23:06:25
hypnotix-3.5-r0.apk
110.04KB
2024-10-25 23:06:25
hypnotix-lang-3.5-r0.apk
72.38KB
2024-10-25 23:06:25
hyx-2024.02.29-r0.apk
17.08KB
2024-10-25 23:06:25
hyx-doc-2024.02.29-r0.apk
2.24KB
2024-10-25 23:06:25
i2util-4.2.1-r1.apk
22.62KB
2024-10-25 23:06:25
i2util-dev-4.2.1-r1.apk
45.24KB
2024-10-25 23:06:25
i2util-doc-4.2.1-r1.apk
4.71KB
2024-10-25 23:06:25
i3status-rust-0.33.2-r0.apk
4.49MB
2024-11-11 05:21:20
i3status-rust-doc-0.33.2-r0.apk
33.50KB
2024-11-11 05:21:20
icesprog-0_git20240108-r1.apk
8.73KB
2024-10-25 23:06:25
icesprog-udev-0_git20240108-r1.apk
1.90KB
2024-10-25 23:06:25
icestorm-0_git20240517-r0.apk
16.53MB
2024-10-25 23:06:25
icmake-9.03.01-r0.apk
102.26KB
2024-10-25 23:06:25
icmake-doc-9.03.01-r0.apk
127.39KB
2024-10-25 23:06:25
idesk-1-r1.apk
63.96KB
2024-10-25 23:06:25
ideviceinstaller-1.1.1-r4.apk
14.51KB
2024-10-31 00:44:42
ideviceinstaller-doc-1.1.1-r4.apk
2.45KB
2024-10-31 00:44:42
idevicerestore-1.0.0-r4.apk
83.17KB
2024-10-31 00:44:42
idevicerestore-doc-1.0.0-r4.apk
2.54KB
2024-10-31 00:44:42
ifuse-1.1.4-r5.apk
9.82KB
2024-10-31 00:44:42
ifuse-doc-1.1.4-r5.apk
2.34KB
2024-10-31 00:44:42
igrep-1.2.0-r0.apk
1.60MB
2024-10-25 23:06:25
igrep-doc-1.2.0-r0.apk
4.13KB
2024-10-25 23:06:25
ijq-1.1.0-r3.apk
1.31MB
2024-10-25 23:06:25
ijq-doc-1.1.0-r3.apk
3.49KB
2024-10-25 23:06:25
imapfilter-2.8.2-r0.apk
36.80KB
2024-10-25 23:06:25
imapfilter-doc-2.8.2-r0.apk
12.81KB
2024-10-25 23:06:25
imediff-2.6-r1.apk
41.58KB
2024-10-25 23:06:25
imediff-doc-2.6-r1.apk
6.53KB
2024-10-25 23:06:25
imediff-pyc-2.6-r1.apk
43.66KB
2024-10-25 23:06:25
imgdiff-1.0.2-r21.apk
900.41KB
2024-10-25 23:06:25
imgdiff-doc-1.0.2-r21.apk
2.26KB
2024-10-25 23:06:25
imrsh-0_git20210320-r1.apk
8.21KB
2024-10-25 23:06:25
imrsh-dbg-0_git20210320-r1.apk
19.51KB
2024-10-25 23:06:25
initify-0_git20171210-r1.apk
3.28KB
2024-10-25 23:06:25
innernet-1.6.1-r0.apk
2.80MB
2024-10-25 23:06:25
innernet-bash-completion-1.6.1-r0.apk
3.80KB
2024-10-25 23:06:25
innernet-doc-1.6.1-r0.apk
9.01KB
2024-10-25 23:06:25
innernet-fish-completion-1.6.1-r0.apk
4.51KB
2024-10-25 23:06:25
innernet-openrc-1.6.1-r0.apk
2.28KB
2024-10-25 23:06:25
innernet-zsh-completion-1.6.1-r0.apk
5.43KB
2024-10-25 23:06:25
interception-tools-0.6.8-r2.apk
105.11KB
2024-10-25 23:06:25
interception-tools-openrc-0.6.8-r2.apk
1.69KB
2024-10-25 23:06:25
invidtui-0.4.6-r0.apk
3.61MB
2024-10-25 23:06:25
iotas-0.9.5-r0.apk
184.08KB
2024-12-07 22:32:49
iotas-lang-0.9.5-r0.apk
70.78KB
2024-12-07 22:32:49
iotas-pyc-0.9.5-r0.apk
300.36KB
2024-12-07 22:32:49
ip2location-8.6.1-r0.apk
22.26KB
2024-10-25 23:06:25
ip2location-dev-8.6.1-r0.apk
12.14KB
2024-10-25 23:06:25
ip2location-doc-8.6.1-r0.apk
2.71KB
2024-10-25 23:06:25
iprange-1.0.4-r1.apk
21.61KB
2024-10-25 23:06:25
iprange-doc-1.0.4-r1.apk
4.54KB
2024-10-25 23:06:25
irccd-4.0.3-r0.apk
243.04KB
2024-10-25 23:06:25
irccd-dev-4.0.3-r0.apk
9.60KB
2024-10-25 23:06:25
irccd-doc-4.0.3-r0.apk
80.35KB
2024-10-25 23:06:25
irccd-openrc-4.0.3-r0.apk
1.80KB
2024-10-25 23:06:25
ircd-hybrid-8.2.45-r1.apk
305.96KB
2024-10-25 23:06:25
ircd-hybrid-doc-8.2.45-r1.apk
3.64KB
2024-10-25 23:06:25
ircdog-0.5.4-r0.apk
2.07MB
2024-10-25 23:06:25
irctk-1.1.0-r0.apk
29.30KB
2024-10-25 23:06:25
irctk-doc-1.1.0-r0.apk
16.31KB
2024-10-25 23:06:25
irctk-transport-fossil-1.1.0-r0.apk
14.06KB
2024-10-25 23:06:25
isoinfo-0_git20131217-r1.apk
6.35KB
2024-10-25 23:06:25
it87-src-1_p20240609-r0.apk
29.69KB
2024-10-25 23:06:25
itd-1.1.0-r8.apk
8.27MB
2024-10-25 23:06:25
iwasm-2.2.0-r0.apk
1.58KB
2024-12-05 17:48:57
iwasm-gc-2.2.0-r0.apk
193.62KB
2024-12-05 17:48:57
jack_capture-0.9.73_git20210429-r2.apk
31.64KB
2024-10-25 23:06:25
jackal-0.64.0-r10.apk
10.58MB
2024-10-25 23:06:26
jackal-openrc-0.64.0-r10.apk
1.83KB
2024-10-25 23:06:26
jackdaw-0.3.1-r1.apk
1.98MB
2024-10-25 23:06:26
jackdaw-pyc-0.3.1-r1.apk
370.31KB
2024-10-25 23:06:26
jackline-0.1.0-r3.apk
3.90MB
2024-10-25 23:06:26
jalv-1.6.8-r1.apk
46.32KB
2024-10-25 23:06:26
jalv-doc-1.6.8-r1.apk
3.15KB
2024-10-25 23:06:26
jalv-gtk-1.6.8-r1.apk
31.60KB
2024-10-25 23:06:26
jami-qt-20230925-r0.apk
13.52MB
2024-10-25 23:06:26
jami-qt-doc-20230925-r0.apk
2.65KB
2024-10-25 23:06:26
jami-qt-lang-20230925-r0.apk
2.30MB
2024-10-25 23:06:26
jaq-2.0.1-r0.apk
624.35KB
2024-12-14 21:58:18
jaq-doc-2.0.1-r0.apk
2.18KB
2024-12-14 21:58:18
java-jtharness-6.0_p12-r0.apk
4.02MB
2024-10-25 23:06:26
java-jtharness-doc-6.0_p12-r0.apk
11.56KB
2024-10-25 23:06:26
java-jtharness-examples-6.0_p12-r0.apk
218.88KB
2024-10-25 23:06:26
jbigkit-2.1-r2.apk
65.02KB
2024-10-25 23:06:26
jbigkit-dev-2.1-r2.apk
28.92KB
2024-10-25 23:06:26
jbigkit-doc-2.1-r2.apk
7.34KB
2024-10-25 23:06:26
jdebp-redo-1.4-r1.apk
89.04KB
2024-10-25 23:06:26
jdebp-redo-doc-1.4-r1.apk
12.12KB
2024-10-25 23:06:26
jdupes-1.28.0-r0.apk
29.21KB
2024-10-25 23:06:26
jdupes-doc-1.28.0-r0.apk
8.99KB
2024-10-25 23:06:26
jedi-language-server-0.43.1-r0.apk
25.97KB
2025-01-04 03:41:06
jedi-language-server-pyc-0.43.1-r0.apk
37.29KB
2025-01-04 03:41:06
jfrog-cli-2.45.0-r7.apk
8.51MB
2024-10-25 23:06:26
jhead-3.08-r0.apk
33.52KB
2024-10-25 23:06:26
jhead-doc-3.08-r0.apk
7.88KB
2024-10-25 23:06:26
jotdown-0.4.0-r0.apk
218.81KB
2024-10-25 23:06:26
jrsonnet-cli-0.4.2-r1.apk
572.47KB
2024-10-25 23:06:26
jsmn-1.1.0-r2.apk
4.70KB
2024-10-25 23:06:26
json2tsv-1.2-r0.apk
6.65KB
2024-10-25 23:06:26
json2tsv-doc-1.2-r0.apk
5.24KB
2024-10-25 23:06:26
json2tsv-jaq-1.2-r0.apk
1.91KB
2024-10-25 23:06:26
json2tsv-jaq-doc-1.2-r0.apk
2.33KB
2024-10-25 23:06:26
jsonnet-bundler-0.6.0-r0.apk
2.95MB
2024-10-25 23:06:26
jsonnet-language-server-0.14.1-r0.apk
3.83MB
2024-10-25 23:06:26
junit2html-31.0.2-r0.apk
16.73KB
2024-10-25 23:06:26
junit2html-pyc-31.0.2-r0.apk
23.62KB
2024-10-25 23:06:26
jwt-cli-6.2.0-r0.apk
847.05KB
2024-12-14 20:18:18
k2-0_git20220807-r1.apk
109.68KB
2024-10-25 23:06:26
k3sup-0.13.6-r0.apk
2.33MB
2024-10-25 23:06:26
k3sup-bash-completion-0.13.6-r0.apk
5.02KB
2024-10-25 23:06:26
k3sup-fish-completion-0.13.6-r0.apk
4.27KB
2024-10-25 23:06:26
k3sup-zsh-completion-0.13.6-r0.apk
4.00KB
2024-10-25 23:06:26
kabmat-2.7.0-r0.apk
54.50KB
2024-10-25 23:06:26
kabmat-doc-2.7.0-r0.apk
3.54KB
2024-10-25 23:06:26
kalker-2.2.1-r0.apk
670.03KB
2024-10-25 23:06:26
kanister-tools-0.112.0-r0.apk
59.15MB
2024-10-25 23:06:27
kanister-tools-bash-completion-0.112.0-r0.apk
5.47KB
2024-10-25 23:06:27
kanister-tools-fish-completion-0.112.0-r0.apk
4.80KB
2024-10-25 23:06:27
kanister-tools-zsh-completion-0.112.0-r0.apk
4.31KB
2024-10-25 23:06:27
kannel-1.5.0-r11.apk
6.14MB
2024-10-25 23:06:28
kannel-dev-1.5.0-r11.apk
926.59KB
2024-10-25 23:06:28
kannel-doc-1.5.0-r11.apk
6.20KB
2024-10-25 23:06:28
kapow-0.7.1-r8.apk
3.08MB
2024-10-25 23:06:28
katana-1.1.0-r4.apk
12.73MB
2024-10-25 23:06:28
katarakt-0.2-r0.apk
77.29KB
2024-10-25 23:06:28
kbs2-0.7.2-r3.apk
1.03MB
2024-10-25 23:06:28
kbs2-bash-completion-0.7.2-r3.apk
3.09KB
2024-10-25 23:06:28
kbs2-fish-completion-0.7.2-r3.apk
3.21KB
2024-10-25 23:06:28
kbs2-zsh-completion-0.7.2-r3.apk
3.97KB
2024-10-25 23:06:28
kdiskmark-3.1.4-r1.apk
158.72KB
2024-10-25 23:06:28
kdiskmark-lang-3.1.4-r1.apk
26.66KB
2024-10-25 23:06:28
keepassxc-browser-1.8.9-r0.apk
876.44KB
2024-10-25 23:06:28
kerberoast-0.2.0-r1.apk
9.62KB
2024-10-25 23:06:28
kerberoast-pyc-0.2.0-r1.apk
15.20KB
2024-10-25 23:06:28
keybase-client-6.2.8-r5.apk
17.18MB
2024-10-25 23:06:28
keystone-0.9.2-r6.apk
1.42MB
2024-10-25 23:06:28
keystone-dev-0.9.2-r6.apk
7.31KB
2024-10-25 23:06:28
keystone-python-0.9.2-r6.apk
1.61MB
2024-10-25 23:06:28
keystone-python-pyc-0.9.2-r6.apk
9.67KB
2024-10-25 23:06:28
kfc-0.1.4-r0.apk
57.73KB
2024-10-25 23:06:28
khinsider-2.0.7-r15.apk
3.00MB
2024-10-25 23:06:28
khronos-4.0.1-r0.apk
48.51KB
2024-10-25 23:06:28
khronos-lang-4.0.1-r0.apk
25.71KB
2024-10-25 23:06:28
kimchi-3.0.0-r7.apk
535.93KB
2024-10-25 23:06:28
kimchi-lang-3.0.0-r7.apk
172.27KB
2024-10-25 23:06:28
kimchi-pyc-3.0.0-r7.apk
377.99KB
2024-10-25 23:06:28
kine-0.10.1-r8.apk
7.28MB
2024-10-25 23:06:28
kine-doc-0.10.1-r8.apk
5.15KB
2024-10-25 23:06:28
kirc-0.3.2-r0.apk
10.53KB
2024-10-25 23:06:28
kirc-doc-0.3.2-r0.apk
2.64KB
2024-10-25 23:06:28
kismet-0.202307.1-r4.apk
11.36MB
2024-11-11 19:19:04
kismet-linux-bluetooth-0.202307.1-r4.apk
42.79KB
2024-11-11 19:19:04
kismet-linux-wifi-0.202307.1-r4.apk
61.85KB
2024-11-11 19:19:04
kismet-logtools-0.202307.1-r4.apk
1.05MB
2024-11-11 19:19:04
kismet-nrf-51822-0.202307.1-r4.apk
39.44KB
2024-11-11 19:19:04
kismet-nxp-kw41z-0.202307.1-r4.apk
41.09KB
2024-11-11 19:19:04
kjv-0_git20221103-r0.apk
1.54MB
2024-10-25 23:06:29
kmscon-9.0.0-r0.apk
794.15KB
2024-10-25 23:06:29
kmscon-doc-9.0.0-r0.apk
7.74KB
2024-10-25 23:06:29
knative-client-1.16.1-r0.apk
21.02MB
2024-12-14 21:26:43
knative-client-bash-completion-1.16.1-r0.apk
10.08KB
2024-12-14 21:26:43
knative-client-fish-completion-1.16.1-r0.apk
4.25KB
2024-12-14 21:26:43
knative-client-zsh-completion-1.16.1-r0.apk
3.98KB
2024-12-14 21:26:43
knxd-0.14.61-r1.apk
373.50KB
2024-12-14 20:56:25
knxd-dev-0.14.61-r1.apk
23.59KB
2024-12-14 20:56:25
ko-0.17.1-r0.apk
9.71MB
2024-12-14 18:40:38
ko-bash-completion-0.17.1-r0.apk
4.97KB
2024-12-14 18:40:38
ko-fish-completion-0.17.1-r0.apk
4.23KB
2024-12-14 18:40:38
ko-zsh-completion-0.17.1-r0.apk
3.96KB
2024-12-14 18:40:38
komikku-1.67.0-r0.apk
1.00MB
2025-01-12 14:35:38
komikku-lang-1.67.0-r0.apk
232.46KB
2025-01-12 14:35:38
komikku-pyc-1.67.0-r0.apk
731.73KB
2025-01-12 14:35:38
kompose-1.31.2-r5.apk
6.73MB
2024-10-25 23:06:29
kompose-bash-completion-1.31.2-r5.apk
5.59KB
2024-10-25 23:06:29
kompose-fish-completion-1.31.2-r5.apk
4.36KB
2024-10-25 23:06:29
kompose-zsh-completion-1.31.2-r5.apk
6.77KB
2024-10-25 23:06:29
kondo-0.8-r0.apk
688.74KB
2024-10-25 23:06:29
kondo-bash-completion-0.8-r0.apk
2.08KB
2024-10-25 23:06:29
kondo-fish-completion-0.8-r0.apk
2.07KB
2024-10-25 23:06:29
kondo-zsh-completion-0.8-r0.apk
2.43KB
2024-10-25 23:06:29
kopia-0.18.2-r0.apk
15.56MB
2025-01-02 22:09:29
kopia-bash-completion-0.18.2-r0.apk
1.71KB
2025-01-02 22:09:29
kopia-zsh-completion-0.18.2-r0.apk
1.73KB
2025-01-02 22:09:29
ktx-4.3.2-r0.apk
1.12MB
2024-10-25 23:06:30
ktx-dev-4.3.2-r0.apk
29.18KB
2024-10-25 23:06:30
ktx-libs-4.3.2-r0.apk
1.26MB
2024-10-25 23:06:30
kube-no-trouble-0.7.3-r0.apk
13.15MB
2024-10-25 23:06:30
kubeconform-0.6.6-r2.apk
2.94MB
2024-10-25 23:06:30
kubeone-1.9.1-r0.apk
25.65MB
2025-01-02 00:26:56
kubeone-bash-completion-1.9.1-r0.apk
6.62KB
2025-01-02 00:26:56
kubeone-doc-1.9.1-r0.apk
20.10KB
2025-01-02 00:26:56
kubeone-zsh-completion-1.9.1-r0.apk
3.98KB
2025-01-02 00:26:56
kubepug-1.7.1-r5.apk
15.65MB
2024-10-25 23:06:30
kubepug-bash-completion-1.7.1-r5.apk
5.12KB
2024-10-25 23:06:30
kubepug-fish-completion-1.7.1-r5.apk
4.33KB
2024-10-25 23:06:30
kubepug-zsh-completion-1.7.1-r5.apk
4.04KB
2024-10-25 23:06:30
kubeseal-0.27.3-r0.apk
10.37MB
2024-12-07 05:41:07
kubeseal-doc-0.27.3-r0.apk
5.46KB
2024-12-07 05:41:07
kubesplit-0.3.3-r1.apk
12.67KB
2024-10-25 23:06:30
kubesplit-pyc-0.3.3-r1.apk
12.96KB
2024-10-25 23:06:30
laze-0.1.27-r0.apk
967.27KB
2024-12-09 18:24:31
laze-bash-completion-0.1.27-r0.apk
3.06KB
2024-12-09 18:24:31
laze-doc-0.1.27-r0.apk
3.50KB
2024-12-09 18:24:31
laze-fish-completion-0.1.27-r0.apk
3.33KB
2024-12-09 18:24:31
laze-zsh-completion-0.1.27-r0.apk
3.51KB
2024-12-09 18:24:31
lazymc-0.2.11-r0.apk
1.12MB
2024-10-25 23:06:31
lazymc-openrc-0.2.11-r0.apk
2.00KB
2024-10-25 23:06:31
ldapdomaindump-0.9.4-r1.apk
18.32KB
2024-10-25 23:06:31
ldapdomaindump-pyc-0.9.4-r1.apk
30.70KB
2024-10-25 23:06:31
ledmon-1.0.0-r0.apk
75.53KB
2024-10-25 23:06:31
ledmon-doc-1.0.0-r0.apk
14.08KB
2024-10-25 23:06:31
lefthook-1.8.5-r0.apk
3.86MB
2024-12-05 14:36:54
lefthook-doc-1.8.5-r0.apk
2.18KB
2024-12-05 14:36:54
legume-1.4.2-r4.apk
1.30MB
2024-10-25 23:06:31
legume-doc-1.4.2-r4.apk
12.35KB
2024-10-25 23:06:31
leptosfmt-0.1.18-r0.apk
871.36KB
2024-10-25 23:06:31
leptosfmt-doc-0.1.18-r0.apk
6.15KB
2024-10-25 23:06:31
levmar-dev-2.6-r0.apk
45.69KB
2024-10-25 23:06:31
lfm-3.1-r4.apk
88.12KB
2024-10-25 23:06:31
lfm-doc-3.1-r4.apk
2.76KB
2024-10-25 23:06:31
lfm-pyc-3.1-r4.apk
133.72KB
2024-10-25 23:06:31
lgogdownloader-3.16-r0.apk
357.22KB
2024-12-25 20:53:26
lgogdownloader-doc-3.16-r0.apk
8.51KB
2024-12-25 20:53:26
libabigail-2.3-r0.apk
761.54KB
2024-10-25 23:06:31
libabigail-bash-completion-2.3-r0.apk
2.97KB
2024-10-25 23:06:31
libabigail-dev-2.3-r0.apk
1.33MB
2024-10-25 23:06:31
libabigail-doc-2.3-r0.apk
61.24KB
2024-10-25 23:06:31
libabigail-tools-2.3-r0.apk
97.30KB
2024-10-25 23:06:31
libantic-0.2.5-r0.apk
37.36KB
2024-10-25 23:06:31
libantic-dev-0.2.5-r0.apk
6.35KB
2024-10-25 23:06:31
libantlr3c-3.4-r3.apk
38.01KB
2024-10-25 23:06:31
libantlr3c-dev-3.4-r3.apk
58.38KB
2024-10-25 23:06:31
libantlr4-4.13.2-r0.apk
397.40KB
2024-12-12 14:30:02
libantlr4-dev-4.13.2-r0.apk
862.94KB
2024-12-12 14:30:02
libarb-2.23.0-r2.apk
1.34MB
2024-10-25 23:06:31
libarb-dev-2.23.0-r2.apk
53.87KB
2024-10-25 23:06:31
libarb-static-2.23.0-r2.apk
1.73MB
2024-10-25 23:06:31
libaudec-0.3.4-r3.apk
28.27KB
2024-10-25 23:06:31
libaudec-dev-0.3.4-r3.apk
4.26KB
2024-10-25 23:06:31
libaudec-static-0.3.4-r3.apk
29.91KB
2024-10-25 23:06:31
libaudec-tools-0.3.4-r3.apk
28.43KB
2024-10-25 23:06:31
libb64-2.0.0.1-r0.apk
4.53KB
2024-10-25 23:06:31
libb64-dev-2.0.0.1-r0.apk
5.52KB
2024-10-25 23:06:31
libb64-doc-2.0.0.1-r0.apk
8.04KB
2024-10-25 23:06:31
libbamf-0.5.6-r1.apk
133.03KB
2024-10-25 23:06:31
libbamf-dev-0.5.6-r1.apk
6.39KB
2024-10-25 23:06:31
libbamf-doc-0.5.6-r1.apk
31.06KB
2024-10-25 23:06:31
libbraiding-1.3.1-r0.apk
78.58KB
2024-11-20 00:34:16
libbraiding-dev-1.3.1-r0.apk
15.07KB
2024-11-20 00:34:16
libbsoncxx-3.8.0-r0.apk
42.13KB
2024-10-25 23:06:31
libbsoncxx-dev-3.8.0-r0.apk
38.66KB
2024-10-25 23:06:31
libcec-rpi-6.0.2-r4.apk
169.63KB
2024-10-25 23:06:31
libcec-rpi-dev-6.0.2-r4.apk
24.59KB
2024-10-25 23:06:31
libcli-1.10.7-r0.apk
25.78KB
2024-10-25 23:06:31
libcork-0.15.0-r7.apk
28.68KB
2024-10-25 23:06:31
libcork-dev-0.15.0-r7.apk
29.97KB
2024-10-25 23:06:31
libcork-tools-0.15.0-r7.apk
4.57KB
2024-10-25 23:06:31
libcorkipset-1.1.1-r4.apk
11.18KB
2024-10-25 23:06:31
libcorkipset-dev-1.1.1-r4.apk
8.08KB
2024-10-25 23:06:31
libcorkipset-tools-1.1.1-r4.apk
11.61KB
2024-10-25 23:06:31
libcotp-3.1.0-r0.apk
7.80KB
2024-10-25 23:06:31
libcotp-dev-3.1.0-r0.apk
2.47KB
2024-10-25 23:06:31
libctl-4.5.1-r1.apk
86.98KB
2024-10-25 23:06:31
libctl-dev-4.5.1-r1.apk
38.55KB
2024-10-25 23:06:31
libctl-doc-4.5.1-r1.apk
3.02KB
2024-10-25 23:06:31
libcyaml-1.4.2-r0.apk
18.72KB
2024-10-25 23:06:31
libcyaml-dev-1.4.2-r0.apk
12.86KB
2024-10-25 23:06:31
libcyaml-doc-1.4.2-r0.apk
8.65KB
2024-10-25 23:06:31
libcyaml-static-1.4.2-r0.apk
21.43KB
2024-10-25 23:06:31
libdng-0.2.1-r0.apk
10.74KB
2024-12-28 00:09:55
libdng-dev-0.2.1-r0.apk
3.20KB
2024-12-28 00:09:55
libdng-doc-0.2.1-r0.apk
4.20KB
2024-12-28 00:09:55
libdng-utils-0.2.1-r0.apk
5.85KB
2024-12-28 00:09:55
libeantic-2.0.2-r1.apk
67.81KB
2024-10-25 23:06:31
libeantic-dev-2.0.2-r1.apk
17.55KB
2024-10-25 23:06:31
libecap-1.0.1-r1.apk
13.27KB
2024-10-25 23:06:31
libecap-dev-1.0.1-r1.apk
11.42KB
2024-10-25 23:06:31
libecap-static-1.0.1-r1.apk
18.82KB
2024-10-25 23:06:31
libecm-7.0.5-r1.apk
205.29KB
2024-10-25 23:06:31
libemf2svg-1.1.0-r2.apk
141.80KB
2024-10-25 23:06:31
libemf2svg-utils-1.1.0-r2.apk
17.76KB
2024-10-25 23:06:31
liberasurecode-1.6.3-r1.apk
35.90KB
2024-10-25 23:06:31
liberasurecode-dev-1.6.3-r1.apk
18.26KB
2024-10-25 23:06:31
libettercap-0.8.3.1-r3.apk
193.99KB
2024-10-25 23:06:31
libfishsound-1.0.0-r1.apk
7.91KB
2024-10-25 23:06:31
libfishsound-dev-1.0.0-r1.apk
54.00KB
2024-10-25 23:06:31
libfishsound-doc-1.0.0-r1.apk
75.32KB
2024-10-25 23:06:31
libfoma-0.10.0_git20240712-r0.apk
96.01KB
2024-10-25 23:06:31
libfort-0.4.2-r0.apk
29.04KB
2024-10-25 23:06:31
libfort-dev-0.4.2-r0.apk
17.43KB
2024-10-25 23:06:31
libfyaml-0.9-r0.apk
249.17KB
2024-10-25 23:06:31
libfyaml-dev-0.9-r0.apk
42.33KB
2024-10-25 23:06:31
libfyaml-doc-0.9-r0.apk
7.40KB
2024-10-25 23:06:31
libgivaro-4.2.0-r2.apk
73.56KB
2024-10-25 23:06:31
libgivaro-dev-4.2.0-r2.apk
244.42KB
2024-10-25 23:06:31
libgivaro-static-4.2.0-r2.apk
94.55KB
2024-10-25 23:06:31
libgrapheme-1-r0.apk
9.42KB
2024-10-25 23:06:31
libgrapheme-dev-1-r0.apk
9.75KB
2024-10-25 23:06:31
libgrapheme-doc-1-r0.apk
7.97KB
2024-10-25 23:06:31
libguestfs-1.52.0-r1.apk
343.27KB
2024-10-25 23:06:31
libguestfs-dev-1.52.0-r1.apk
29.31KB
2024-10-25 23:06:31
libguestfs-doc-1.52.0-r1.apk
559.82KB
2024-10-25 23:06:31
libguestfs-static-1.52.0-r1.apk
473.54KB
2024-10-25 23:06:31
libhomfly-1.02_p6-r1.apk
13.97KB
2024-10-25 23:06:31
libhomfly-dev-1.02_p6-r1.apk
16.87KB
2024-10-25 23:06:31
libhwpwm-0.4.4-r0.apk
5.96KB
2024-10-25 23:06:31
libhwpwm-dev-0.4.4-r0.apk
5.38KB
2024-10-25 23:06:31
libhwpwm-doc-0.4.4-r0.apk
13.02KB
2024-10-25 23:06:31
libideviceactivation-1.1.1-r5.apk
15.79KB
2024-10-31 00:44:42
libideviceactivation-dev-1.1.1-r5.apk
3.31KB
2024-10-31 00:44:42
libideviceactivation-doc-1.1.1-r5.apk
2.18KB
2024-10-31 00:44:42
libigraph-0.10.15-r0.apk
1.09MB
2024-11-20 00:34:16
libigraph-dev-0.10.15-r0.apk
90.46KB
2024-11-20 00:34:16
libiio-0.25-r2.apk
46.98KB
2024-10-25 23:06:31
libiio-dev-0.25-r2.apk
13.35KB
2024-10-25 23:06:31
libiio-doc-0.25-r2.apk
17.86KB
2024-10-25 23:06:31
libiio-pyc-0.25-r2.apk
20.95KB
2024-10-25 23:06:31
libiio-tools-0.25-r2.apk
70.61KB
2024-10-25 23:06:31
libiml-1.0.5-r3.apk
61.93KB
2024-10-25 23:06:31
libiml-dev-1.0.5-r3.apk
3.92KB
2024-10-25 23:06:31
libiml-static-1.0.5-r3.apk
62.35KB
2024-10-25 23:06:31
libirecovery-1.2.1-r0.apk
24.39KB
2024-10-31 00:44:42
libirecovery-dev-1.2.1-r0.apk
4.08KB
2024-10-31 00:44:42
libirecovery-progs-1.2.1-r0.apk
8.24KB
2024-10-31 00:44:42
libiscsi-1.19.0-r2.apk
55.98KB
2024-10-25 23:06:31
libiscsi-dev-1.19.0-r2.apk
20.41KB
2024-10-25 23:06:31
libiscsi-doc-1.19.0-r2.apk
9.28KB
2024-10-25 23:06:31
libiscsi-static-1.19.0-r2.apk
70.26KB
2024-10-25 23:06:31
libiscsi-utils-1.19.0-r2.apk
81.62KB
2024-10-25 23:06:31
libjodycode-3.1.1-r0.apk
7.34KB
2024-10-25 23:06:31
libjodycode-dev-3.1.1-r0.apk
4.21KB
2024-10-25 23:06:31
libjodycode-doc-3.1.1-r0.apk
3.66KB
2024-10-25 23:06:31
liblastfm-qt-1.1.10_git20190823-r3.apk
151.99KB
2024-10-25 23:06:31
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
19.62KB
2024-10-25 23:06:31
libm4ri-20240729-r1.apk
131.63KB
2024-10-25 23:06:31
libm4ri-dev-20240729-r1.apk
31.49KB
2024-10-25 23:06:31
libm4ri-static-20240729-r1.apk
141.66KB
2024-10-25 23:06:31
libm4rie-20200125-r4.apk
222.79KB
2024-10-25 23:06:31
libm4rie-dev-20200125-r4.apk
24.37KB
2024-10-25 23:06:31
libm4rie-static-20200125-r4.apk
236.30KB
2024-10-25 23:06:31
libmdbx-0.11.8-r0.apk
721.58KB
2024-10-25 23:06:31
libmdbx-dbg-0.11.8-r0.apk
2.31MB
2024-10-25 23:06:31
libmdbx-dev-0.11.8-r0.apk
93.19KB
2024-10-25 23:06:31
libmdbx-doc-0.11.8-r0.apk
8.85KB
2024-10-25 23:06:31
libmdf-1.0.29-r0.apk
34.76KB
2024-10-25 23:06:31
libmdf-dev-1.0.29-r0.apk
13.82KB
2024-10-25 23:06:31
libmedc-4.1.1-r4.apk
660.46KB
2024-10-25 23:06:31
libmedc-dev-4.1.1-r4.apk
37.51KB
2024-10-25 23:06:31
libmedc-doc-4.1.1-r4.apk
40.53MB
2024-10-25 23:06:31
libmedc-python-4.1.1-r4.apk
1.71MB
2024-10-25 23:06:31
libmedc-python-pyc-4.1.1-r4.apk
475.44KB
2024-10-25 23:06:31
libmhash-0.9.9.9-r3.apk
100.71KB
2024-10-25 23:06:31
libmhash-dev-0.9.9.9-r3.apk
112.89KB
2024-10-25 23:06:31
libmhash-doc-0.9.9.9-r3.apk
8.15KB
2024-10-25 23:06:31
libmpfi-1.5.4-r2.apk
27.98KB
2024-10-25 23:06:31
libmpfi-dev-1.5.4-r2.apk
5.36KB
2024-10-25 23:06:31
libmpfi-doc-1.5.4-r2.apk
18.73KB
2024-10-25 23:06:31
libmpfi-static-1.5.4-r2.apk
41.51KB
2024-10-25 23:06:31
libmrss-0.19.2-r1.apk
18.42KB
2024-10-25 23:06:31
libmrss-dev-0.19.2-r1.apk
29.18KB
2024-10-25 23:06:31
libmustache-0.5.0-r1.apk
81.23KB
2024-10-25 23:06:31
libmygpo-qt-1.1.0-r2.apk
68.45KB
2024-10-25 23:06:31
libmygpo-qt-dev-1.1.0-r2.apk
11.86KB
2024-10-25 23:06:31
libmysofa-1.3.2-r0.apk
24.78KB
2024-10-25 23:06:31
libmysofa-dev-1.3.2-r0.apk
7.00KB
2024-10-25 23:06:31
libmysofa-tools-1.3.2-r0.apk
1.07MB
2024-10-25 23:06:31
libnbcompat-1.0.2-r0.apk
33.80KB
2024-10-25 23:06:31
libnbcompat-dev-1.0.2-r0.apk
66.07KB
2024-10-25 23:06:31
libnest2d-0.4-r6.apk
1.44KB
2024-10-25 23:06:31
libnest2d-dev-0.4-r6.apk
71.16KB
2024-10-25 23:06:31
libnfc-1.8.0-r1.apk
56.38KB
2024-10-25 23:06:31
libnfc-dev-1.8.0-r1.apk
7.89KB
2024-10-25 23:06:31
libnfc-doc-1.8.0-r1.apk
21.98KB
2024-10-25 23:06:31
libnfc-tools-1.8.0-r1.apk
60.23KB
2024-10-25 23:06:31
libnih-1.0.3-r7.apk
119.83KB
2024-10-25 23:06:31
libnih-dev-1.0.3-r7.apk
116.87KB
2024-10-25 23:06:31
libnih-doc-1.0.3-r7.apk
2.71KB
2024-10-25 23:06:31
libntl-11.5.1-r4.apk
1.03MB
2024-10-25 23:06:32
libntl-dev-11.5.1-r4.apk
158.68KB
2024-10-25 23:06:32
libntl-doc-11.5.1-r4.apk
373.58KB
2024-10-25 23:06:32
libntl-static-11.5.1-r4.apk
1.54MB
2024-10-25 23:06:32
libnxml-0.18.3-r0.apk
19.48KB
2024-10-25 23:06:32
libnxml-dev-0.18.3-r0.apk
28.27KB
2024-10-25 23:06:32
libofx-0.10.9-r1.apk
62.29KB
2024-10-25 23:06:32
libofx-dev-0.10.9-r1.apk
19.56KB
2024-10-25 23:06:32
libofx-tools-0.10.9-r1.apk
103.79KB
2024-10-25 23:06:32
liboggz-1.1.1-r2.apk
117.63KB
2024-10-25 23:06:32
liboggz-dev-1.1.1-r2.apk
154.89KB
2024-10-25 23:06:32
liboggz-doc-1.1.1-r2.apk
134.23KB
2024-10-25 23:06:32
libopensmtpd-0.7-r0.apk
17.03KB
2024-10-25 23:06:32
libopensmtpd-dev-0.7-r0.apk
3.09KB
2024-10-25 23:06:32
libopensmtpd-doc-0.7-r0.apk
4.99KB
2024-10-25 23:06:32
libppl-1.2-r1.apk
458.90KB
2024-10-25 23:06:32
libppl_c-1.2-r1.apk
947.11KB
2024-10-25 23:06:32
libqd-2.3.24-r0.apk
147.35KB
2024-10-25 23:06:32
libqd-dev-2.3.24-r0.apk
57.75KB
2024-10-25 23:06:32
libqd-doc-2.3.24-r0.apk
182.43KB
2024-10-25 23:06:32
libqd-static-2.3.24-r0.apk
235.64KB
2024-10-25 23:06:32
libqtdbusmock-0.9.1-r1.apk
64.24KB
2024-10-25 23:06:32
libqtdbustest-0.3.3-r0.apk
28.81KB
2025-01-10 12:05:05
libraqm-0.10.2-r0.apk
9.87KB
2024-10-25 23:06:32
libraqm-dev-0.10.2-r0.apk
4.53KB
2024-10-25 23:06:32
libraqm-doc-0.10.2-r0.apk
21.12KB
2024-10-25 23:06:32
libreoffice-voikko-5.0_git20200127-r0.apk
45.37KB
2024-10-25 23:06:32
librespot-0.6.0-r0.apk
2.88MB
2024-11-01 23:57:17
librespot-openrc-0.6.0-r0.apk
1.81KB
2024-11-01 23:57:17
libretro-atari800-0_git20240924-r0.apk
268.84KB
2024-10-25 23:06:32
libretro-beetle-pce-fast-0_git20220205-r0.apk
342.81KB
2024-10-25 23:06:32
libretro-beetle-pcfx-0_git20220409-r0.apk
280.96KB
2024-10-25 23:06:32
libretro-beetle-saturn-0_git20220417-r0.apk
1.41MB
2024-10-25 23:06:32
libretro-beetle-supergrafx-0_git20220218-r0.apk
352.41KB
2024-10-25 23:06:32
libretro-bluemsx-0_git20240808-r0.apk
590.31KB
2024-10-25 23:06:32
libretro-cannonball-0_git20220309-r6.apk
222.92KB
2024-10-25 23:06:32
libretro-cap32-0_git20220419-r0.apk
293.37KB
2024-10-25 23:06:32
libretro-crocods-0_git20210314-r1.apk
258.31KB
2024-10-25 23:06:32
libretro-daphne-0_git20210108-r2.apk
561.55KB
2024-10-25 23:06:32
libretro-dinothawr-0_git20220401-r0.apk
119.23KB
2024-10-25 23:06:32
libretro-fbneo-0_git20220416-r0.apk
9.68MB
2024-10-25 23:06:32
libretro-freeintv-0_git20220319-r0.apk
32.82KB
2024-10-25 23:06:32
libretro-frodo-0_git20221221-r0.apk
146.89KB
2024-10-25 23:06:32
libretro-fuse-0_git20220417-r0.apk
841.00KB
2024-10-25 23:06:32
libretro-genesis-plus-gx-0_git20230503-r0.apk
833.29KB
2024-10-25 23:06:32
libretro-gme-0_git20240628-r0.apk
173.24KB
2024-10-25 23:06:32
libretro-gong-0_git20220319-r0.apk
8.59KB
2024-10-25 23:06:32
libretro-gw-0_git20220410-r0.apk
161.77KB
2024-10-25 23:06:32
libretro-mame2000-0_git20240701-r0.apk
2.61MB
2024-10-25 23:06:32
libretro-mame2003-0_git20240904-r0.apk
6.69MB
2024-10-25 23:06:32
libretro-mu-0_git20220317-r0.apk
144.33KB
2024-10-25 23:06:32
libretro-neocd-0_git20220325-r1.apk
386.61KB
2024-10-25 23:06:32
libretro-nxengine-0_git20220301-r0.apk
271.24KB
2024-10-25 23:06:32
libretro-openlara-0_git20210121-r0.apk
457.21KB
2024-10-25 23:06:32
libretro-opera-0_git20211214-r0.apk
161.23KB
2024-10-25 23:06:32
libretro-parallel-n64-0_git20220406-r0.apk
864.90KB
2024-10-25 23:06:32
libretro-picodrive-0_git20220405-r0.apk
439.58KB
2024-10-25 23:06:32
libretro-pocketcdg-0_git20220327-r0.apk
82.09KB
2024-10-25 23:06:32
libretro-ppsspp-0_git20210516-r14.apk
2.35MB
2024-10-25 23:06:32
libretro-scummvm-0_git20210325-r0.apk
17.83MB
2024-10-25 23:06:32
libretro-snes9x-0_git20240819-r0.apk
687.75KB
2024-10-25 23:06:32
libretro-theodore-3.1-r0.apk
870.70KB
2024-10-25 23:06:32
libretro-tyrquake-0_git20220409-r0.apk
368.16KB
2024-10-25 23:06:32
libretro-xrick-0_git20220331-r0.apk
111.23KB
2024-10-25 23:06:32
libsbsms-2.3.0-r0.apk
95.09KB
2024-10-25 23:06:32
libsbsms-dev-2.3.0-r0.apk
126.49KB
2024-10-25 23:06:32
libsds-2.0.0-r1.apk
9.63KB
2024-10-25 23:06:32
libsds-dev-2.0.0-r1.apk
3.78KB
2024-10-25 23:06:32
libsemanage-3.6-r1.apk
89.18KB
2024-10-25 23:06:32
libsemanage-dev-3.6-r1.apk
137.15KB
2024-10-25 23:06:32
libsemanage-doc-3.6-r1.apk
22.91KB
2024-10-25 23:06:32
libsemigroups-2.7.3-r1.apk
610.17KB
2024-12-14 20:56:25
libsemigroups-dev-2.7.3-r1.apk
334.51KB
2024-12-14 20:56:25
libsemigroups-static-2.7.3-r1.apk
1.40MB
2024-12-14 20:56:25
libserialport-0.1.1-r1.apk
21.92KB
2024-10-25 23:06:32
libserialport-dev-0.1.1-r1.apk
38.76KB
2024-10-25 23:06:32
libsigrok-0.5.2-r3.apk
478.68KB
2024-10-25 23:06:32
libsigrok-dev-0.5.2-r3.apk
30.67KB
2024-10-25 23:06:32
libsigrokdecode-0.5.3-r4.apk
333.30KB
2024-10-25 23:06:32
libsigrokdecode-dev-0.5.3-r4.apk
37.00KB
2024-10-25 23:06:32
libsimpleble-0.6.1-r2.apk
169.60KB
2024-12-14 20:56:25
libsimpleble-c-0.6.1-r2.apk
14.04KB
2024-12-14 20:56:25
libsimplebluez-0.6.1-r2.apk
119.97KB
2024-12-14 20:56:25
libsirocco-2.1.0-r2.apk
56.64KB
2024-10-25 23:06:32
libsirocco-dev-2.1.0-r2.apk
1.87KB
2024-10-25 23:06:32
libspatialindex-0_git20210205-r1.apk
308.00KB
2024-10-25 23:06:32
libspatialindex-dev-0_git20210205-r1.apk
21.98KB
2024-10-25 23:06:32
libstirshaken-0_git20240208-r2.apk
53.57KB
2024-10-25 23:06:32
libstirshaken-dev-0_git20240208-r2.apk
82.78KB
2024-10-25 23:06:32
libstirshaken-tools-0_git20240208-r2.apk
158.92KB
2024-10-25 23:06:32
libsymmetrica-3.0.1-r2.apk
4.04MB
2024-10-25 23:06:32
libsymmetrica-dev-3.0.1-r2.apk
32.04KB
2024-10-25 23:06:32
libsymmetrica-static-3.0.1-r2.apk
4.47MB
2024-10-25 23:06:33
libtins-4.5-r1.apk
295.61KB
2024-10-25 23:06:33
libtins-dev-4.5-r1.apk
141.32KB
2024-10-25 23:06:33
libtins-doc-4.5-r1.apk
2.32KB
2024-10-25 23:06:33
libtinycbor-0.6.0-r1.apk
18.80KB
2024-10-25 23:06:33
libtommath-1.2.1-r0.apk
34.67KB
2024-10-25 23:06:33
libtommath-dev-1.2.1-r0.apk
60.06KB
2024-10-25 23:06:33
libtsm-4.0.2-r1.apk
24.10KB
2024-10-25 23:06:33
libtsm-dev-4.0.2-r1.apk
9.53KB
2024-10-25 23:06:33
libucl-0.9.0-r0.apk
51.53KB
2024-10-25 23:06:33
libucl-dev-0.9.0-r0.apk
77.53KB
2024-10-25 23:06:33
libucl-doc-0.9.0-r0.apk
8.83KB
2024-10-25 23:06:33
libuecc-7-r3.apk
8.96KB
2024-10-25 23:06:33
libuecc-dev-7-r3.apk
4.73KB
2024-10-25 23:06:33
libuninameslist-20230916-r0.apk
425.17KB
2024-10-25 23:06:33
libuninameslist-dev-20230916-r0.apk
3.44KB
2024-10-25 23:06:33
libuninameslist-doc-20230916-r0.apk
2.02KB
2024-10-25 23:06:33
libupstart-2.0.3-r5.apk
72.39KB
2024-10-25 23:06:33
libvdpau-va-gl-0.4.2-r0.apk
51.33KB
2024-10-25 23:06:33
libvisio2svg-0.5.5-r3.apk
13.37KB
2024-10-25 23:06:33
libvisio2svg-dev-0.5.5-r3.apk
2.92KB
2024-10-25 23:06:33
libvisio2svg-utils-0.5.5-r3.apk
109.35KB
2024-10-25 23:06:33
libvmaf-3.0.0-r0.apk
324.52KB
2024-10-25 23:06:33
libvmaf-dev-3.0.0-r0.apk
189.56KB
2024-10-25 23:06:33
libvoikko-4.3.2-r1.apk
116.27KB
2024-10-25 23:06:33
libvoikko-dev-4.3.2-r1.apk
9.91KB
2024-10-25 23:06:33
libvoikko-doc-4.3.2-r1.apk
5.72KB
2024-10-25 23:06:33
libwbxml-0.11.8-r0.apk
61.46KB
2024-10-25 23:06:33
libwbxml-dev-0.11.8-r0.apk
8.96KB
2024-10-25 23:06:33
libwbxml-doc-0.11.8-r0.apk
28.27KB
2024-10-25 23:06:33
libwhich-1.2.0-r0.apk
4.65KB
2024-10-25 23:06:33
libwmiclient-1.3.16-r5.apk
1.45KB
2024-10-25 23:06:33
libwmiclient-dev-1.3.16-r5.apk
1.69KB
2024-10-25 23:06:33
libxml++-5.0.3-r1.apk
54.77KB
2024-10-25 23:06:33
libxml++-dev-5.0.3-r1.apk
30.33KB
2024-10-25 23:06:33
libxo-1.7.5-r0.apk
175.12KB
2025-01-13 00:45:45
libxo-dev-1.7.5-r0.apk
71.80KB
2025-01-13 00:45:45
libxo-doc-1.7.5-r0.apk
62.82KB
2025-01-13 00:45:45
libzn_poly-0.9.2-r2.apk
42.66KB
2024-10-25 23:06:33
libzn_poly-dev-0.9.2-r2.apk
8.15KB
2024-10-25 23:06:33
libzn_poly-static-0.9.2-r2.apk
45.31KB
2024-10-25 23:06:33
libzrtpcpp-4.7.0-r0.apk
163.83KB
2025-01-04 23:55:14
libzrtpcpp-dev-4.7.0-r0.apk
37.86KB
2025-01-04 23:55:14
libzvbi-0.2.43-r0.apk
221.57KB
2024-12-07 22:32:12
libzvbi-dev-0.2.43-r0.apk
14.30KB
2024-12-07 22:32:12
libzvbi-static-0.2.43-r0.apk
273.79KB
2024-12-07 22:32:12
licenseheaders-0.8.8-r4.apk
17.89KB
2024-10-25 23:06:33
licenseheaders-pyc-0.8.8-r4.apk
18.41KB
2024-10-25 23:06:33
limnoria-20240828-r0.apk
1.08MB
2024-10-25 23:06:33
limnoria-doc-20240828-r0.apk
8.40KB
2024-10-25 23:06:33
limnoria-pyc-20240828-r0.apk
1.22MB
2024-10-25 23:06:33
linphone-5.3.38-r0.apk
9.00MB
2024-10-25 23:06:33
linphone-dev-5.3.38-r0.apk
249.72KB
2024-10-25 23:06:33
linphone-libs-5.3.38-r0.apk
2.60MB
2024-10-25 23:06:33
linux-apfs-rw-src-0.3.8-r0.apk
197.04KB
2024-10-25 23:06:33
linux-timemachine-1.3.2-r0.apk
5.05KB
2024-10-25 23:06:33
linuxptp-4.4-r0.apk
1.22KB
2024-11-20 00:34:16
linuxptp-doc-4.4-r0.apk
38.44KB
2024-11-20 00:34:16
linuxptp-hwstamp_ctl-4.4-r0.apk
4.36KB
2024-11-20 00:34:16
linuxptp-nsm-4.4-r0.apk
35.31KB
2024-11-20 00:34:16
linuxptp-phc2sys-4.4-r0.apk
40.33KB
2024-11-20 00:34:16
linuxptp-phc_ctl-4.4-r0.apk
10.78KB
2024-11-20 00:34:16
linuxptp-pmc-4.4-r0.apk
38.58KB
2024-11-20 00:34:16
linuxptp-ptp4l-4.4-r0.apk
83.43KB
2024-11-20 00:34:16
linuxptp-timemaster-4.4-r0.apk
15.43KB
2024-11-20 00:34:16
linuxptp-ts2phc-4.4-r0.apk
39.25KB
2024-11-20 00:34:16
linuxptp-tz2alt-4.4-r0.apk
20.93KB
2024-11-20 00:34:16
liquibase-4.9.1-r0.apk
31.63MB
2024-10-25 23:06:34
liquibase-doc-4.9.1-r0.apk
56.89KB
2024-10-25 23:06:34
listenbrainz-mpd-2.3.8-r0.apk
1.22MB
2024-10-25 23:06:34
listenbrainz-mpd-bash-completion-2.3.8-r0.apk
2.11KB
2024-10-25 23:06:34
listenbrainz-mpd-doc-2.3.8-r0.apk
14.40KB
2024-10-25 23:06:34
listenbrainz-mpd-fish-completion-2.3.8-r0.apk
1.81KB
2024-10-25 23:06:34
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk
2.06KB
2024-10-25 23:06:34
litehtml-0.9-r1.apk
280.96KB
2025-01-04 06:31:46
litehtml-dev-0.9-r1.apk
41.65KB
2025-01-04 06:31:46
litehtml-static-0.9-r1.apk
485.00KB
2025-01-04 06:31:46
litterbox-1.9-r1.apk
31.48KB
2024-10-25 23:06:34
litterbox-doc-1.9-r1.apk
7.25KB
2024-10-25 23:06:34
lizardfs-3.13.0-r14.apk
136.98KB
2024-12-03 16:27:35
lizardfs-bash-completion-3.13.0-r14.apk
1.82KB
2024-12-03 16:27:35
lizardfs-cgi-3.13.0-r14.apk
31.40KB
2024-12-03 16:27:35
lizardfs-cgiserv-3.13.0-r14.apk
7.31KB
2024-12-03 16:27:35
lizardfs-cgiserv-openrc-3.13.0-r14.apk
1.91KB
2024-12-03 16:27:35
lizardfs-chunkserver-3.13.0-r14.apk
358.26KB
2024-12-03 16:27:35
lizardfs-chunkserver-openrc-3.13.0-r14.apk
1.59KB
2024-12-03 16:27:35
lizardfs-client-3.13.0-r14.apk
1.21MB
2024-12-03 16:27:35
lizardfs-doc-3.13.0-r14.apk
11.39KB
2024-12-03 16:27:35
lizardfs-master-3.13.0-r14.apk
921.84KB
2024-12-03 16:27:35
lizardfs-master-openrc-3.13.0-r14.apk
1.59KB
2024-12-03 16:27:35
lizardfs-metalogger-3.13.0-r14.apk
158.99KB
2024-12-03 16:27:35
lizardfs-metalogger-openrc-3.13.0-r14.apk
1.59KB
2024-12-03 16:27:35
lkrg-0.9.6-r1.apk
104.73KB
2025-01-09 13:44:48
lkrg-doc-0.9.6-r1.apk
21.57KB
2025-01-09 13:44:48
llmnrd-0.7-r1.apk
17.51KB
2024-10-25 23:06:34
llmnrd-doc-0.7-r1.apk
3.04KB
2024-10-25 23:06:34
llmnrd-openrc-0.7-r1.apk
1.89KB
2024-10-25 23:06:34
lockrun-1.1.3-r1.apk
5.33KB
2024-10-25 23:06:34
log4cpp-1.1.4-r1.apk
68.97KB
2024-10-25 23:06:34
log4cpp-dev-1.1.4-r1.apk
38.90KB
2024-10-25 23:06:34
log4cxx-1.1.0-r2.apk
471.24KB
2024-12-14 20:56:25
log4cxx-dev-1.1.0-r2.apk
135.20KB
2024-12-14 20:56:25
logc-0.5.0-r0.apk
7.28KB
2024-10-25 23:06:34
logc-argp-0.5.0-r0.apk
14.90KB
2024-10-25 23:06:34
logc-config-0.5.0-r0.apk
4.81KB
2024-10-25 23:06:34
logc-czmq-0.1.0-r0.apk
3.87KB
2024-10-25 23:06:34
logc-dev-0.5.0-r0.apk
8.88KB
2024-10-25 23:06:34
logc-libevent-0.1.0-r0.apk
3.27KB
2024-10-25 23:06:34
logc-libs-0.1.0-r0.apk
1.43KB
2024-10-25 23:06:34
logc-libs-dev-0.1.0-r0.apk
5.43KB
2024-10-25 23:06:34
logtop-0.7-r0.apk
12.01KB
2024-10-25 23:06:34
logtop-doc-0.7-r0.apk
2.82KB
2024-10-25 23:06:34
logtop-libs-0.7-r0.apk
13.04KB
2024-10-25 23:06:34
logwatch-7.10-r1.apk
483.47KB
2024-10-25 23:06:34
logwatch-doc-7.10-r1.apk
38.10KB
2024-10-25 23:06:34
lol-html-1.1.1-r1.apk
442.37KB
2024-10-25 23:06:34
lol-html-dev-1.1.1-r1.apk
6.51KB
2024-10-25 23:06:34
lolcat-1.4-r0.apk
9.70KB
2024-10-25 23:06:34
lomiri-action-api-1.1.3-r1.apk
73.82KB
2024-10-25 23:06:34
lomiri-action-api-dev-1.1.3-r1.apk
5.37KB
2024-10-25 23:06:34
lomiri-api-0.2.2-r0.apk
31.09KB
2025-01-10 12:05:05
lomiri-api-dev-0.2.2-r0.apk
32.07KB
2025-01-10 12:05:05
lomiri-app-launch-0.1.10-r0.apk
308.77KB
2025-01-10 12:05:05
lomiri-app-launch-dev-0.1.10-r0.apk
19.99KB
2025-01-10 12:05:05
lomiri-download-manager-0.1.3-r3.apk
529.16KB
2024-10-25 23:06:34
lomiri-download-manager-dev-0.1.3-r3.apk
17.70KB
2024-10-25 23:06:34
lomiri-download-manager-doc-0.1.3-r3.apk
722.85KB
2024-10-25 23:06:34
lomiri-download-manager-lang-0.1.3-r3.apk
31.28KB
2024-10-25 23:06:34
lomiri-history-service-0.6-r2.apk
326.62KB
2024-12-07 14:33:15
lomiri-history-service-dev-0.6-r2.apk
11.06KB
2024-12-07 14:33:15
lomiri-location-service-3.1.0-r7.apk
1.95MB
2024-10-25 23:06:34
lomiri-location-service-dev-3.1.0-r7.apk
31.55KB
2024-10-25 23:06:34
lomiri-location-service-doc-3.1.0-r7.apk
2.87KB
2024-10-25 23:06:34
lomiri-location-service-lang-3.1.0-r7.apk
24.27KB
2024-10-25 23:06:34
lomiri-notifications-1.3.1-r0.apk
87.99KB
2025-01-10 12:05:05
lomiri-schemas-0.1.6-r0.apk
10.69KB
2025-01-03 02:48:35
lomiri-settings-components-1.1.2-r0.apk
217.81KB
2025-01-10 12:05:05
lomiri-settings-components-lang-1.1.2-r0.apk
97.80KB
2025-01-10 12:05:05
lomiri-sounds-22.02-r0.apk
17.97MB
2024-10-25 23:06:34
lomiri-thumbnailer-3.0.4-r0.apk
205.34KB
2025-01-10 12:05:05
lomiri-thumbnailer-dev-3.0.4-r0.apk
5.05KB
2025-01-10 12:05:05
lomiri-thumbnailer-doc-3.0.4-r0.apk
1.48KB
2025-01-10 12:05:05
lomiri-trust-store-2.0.2-r6.apk
911.32KB
2024-10-25 23:06:34
lomiri-trust-store-dev-2.0.2-r6.apk
9.45KB
2024-10-25 23:06:34
lomiri-trust-store-lang-2.0.2-r6.apk
31.99KB
2024-10-25 23:06:34
lomiri-ui-extras-0.6.3-r1.apk
244.53KB
2024-10-25 23:06:34
lomiri-ui-extras-lang-0.6.3-r1.apk
55.26KB
2024-10-25 23:06:34
lomiri-url-dispatcher-0.1.3-r2.apk
36.19KB
2024-10-25 23:06:34
lomiri-url-dispatcher-dev-0.1.3-r2.apk
3.34KB
2024-10-25 23:06:34
lomiri-url-dispatcher-lang-0.1.3-r2.apk
20.81KB
2024-10-25 23:06:34
lotide-0.15.0-r0.apk
3.61MB
2024-10-25 23:06:34
lotide-openrc-0.15.0-r0.apk
3.10KB
2024-10-25 23:06:34
lottieconverter-0.2_git20231219-r0.apk
11.02KB
2024-10-25 23:06:34
lottieconverter-doc-0.2_git20231219-r0.apk
2.39KB
2024-10-25 23:06:34
lout-3.42.2-r0.apk
1.39MB
2024-10-25 23:06:34
lout-doc-3.42.2-r0.apk
452.53KB
2024-10-25 23:06:34
lowjs-1.6.2-r2.apk
1.33MB
2024-10-25 23:06:34
lowjs-doc-1.6.2-r2.apk
2.97KB
2024-10-25 23:06:34
lrcalc-2.1-r1.apk
10.76KB
2024-10-25 23:06:34
lrcalc-dev-2.1-r1.apk
11.33KB
2024-10-25 23:06:34
lrcalc-libs-2.1-r1.apk
22.97KB
2024-10-25 23:06:34
lsd-1.1.5-r0.apk
1.11MB
2024-10-25 23:06:34
lsd-bash-completion-1.1.5-r0.apk
2.52KB
2024-10-25 23:06:34
lsd-fish-completion-1.1.5-r0.apk
3.15KB
2024-10-25 23:06:34
lsd-zsh-completion-1.1.5-r0.apk
3.44KB
2024-10-25 23:06:34
lsdvd-0.17-r0.apk
13.27KB
2024-10-25 23:06:34
lsdvd-doc-0.17-r0.apk
2.47KB
2024-10-25 23:06:34
lshell-0.9.18-r11.apk
36.46KB
2024-10-25 23:06:34
lshell-doc-0.9.18-r11.apk
25.19KB
2024-10-25 23:06:34
lshell-pyc-0.9.18-r11.apk
35.32KB
2024-10-25 23:06:34
lsix-1.8.2-r0.apk
6.49KB
2024-10-25 23:06:34
lsmash-2.14.5-r2.apk
273.12KB
2024-10-25 23:06:34
lsmash-dev-2.14.5-r2.apk
341.91KB
2024-10-25 23:06:34
lua-editorconfig-0.3.0-r0.apk
1.20KB
2024-10-25 23:06:34
lua-fn-0.1.0-r0.apk
3.38KB
2024-10-25 23:06:34
lua-inet-0.2.0-r1.apk
9.13KB
2024-10-25 23:06:34
lua-lanes-3.16.0-r1.apk
1.42KB
2024-10-25 23:06:34
lua-lcurses-9.0.0-r0.apk
1.19KB
2024-10-25 23:06:34
lua-libmodbus-0.6.1-r0.apk
1.18KB
2024-10-25 23:06:34
lua-libmodbus-doc-0.6.1-r0.apk
19.10KB
2024-10-25 23:06:34
lua-linenoise-0.9-r1.apk
1.17KB
2024-10-25 23:06:34
lua-luastatic-0.0.12-r1.apk
1.46KB
2024-10-25 23:06:34
lua-lupa-1.0-r0.apk
19.57KB
2024-10-25 23:06:34
lua-lut-1.2.1-r0.apk
88.67KB
2024-10-25 23:06:34
lua-psl-0.3-r0.apk
1.13KB
2024-10-25 23:06:34
lua-resty-redis-0.29-r0.apk
5.33KB
2024-10-25 23:06:34
lua-resty-upload-0.11-r0.apk
3.61KB
2024-10-25 23:06:34
lua-xml-1.1.3-r2.apk
1.43KB
2024-10-25 23:06:34
lua5.1-lanes-3.16.0-r1.apk
59.06KB
2024-10-25 23:06:34
lua5.1-lcurses-9.0.0-r0.apk
22.32KB
2024-10-25 23:06:34
lua5.1-libguestfs-1.52.0-r1.apk
77.46KB
2024-10-25 23:06:34
lua5.1-libmodbus-0.6.1-r0.apk
8.90KB
2024-10-25 23:06:34
lua5.1-linenoise-0.9-r1.apk
15.85KB
2024-10-25 23:06:34
lua5.1-luacov-0.15.0-r0.apk
23.28KB
2024-10-25 23:06:34
lua5.1-luacov-html-1.0.0-r1.apk
412.72KB
2024-10-25 23:06:34
lua5.1-luastatic-0.0.12-r1.apk
68.09KB
2024-10-25 23:06:34
lua5.1-psl-0.3-r0.apk
5.39KB
2024-10-25 23:06:34
lua5.1-xml-1.1.3-r2.apk
22.09KB
2024-10-25 23:06:34
lua5.2-editorconfig-0.3.0-r0.apk
4.13KB
2024-10-25 23:06:34
lua5.2-lanes-3.16.0-r1.apk
58.89KB
2024-10-25 23:06:34
lua5.2-libmodbus-0.6.1-r0.apk
8.89KB
2024-10-25 23:06:34
lua5.2-linenoise-0.9-r1.apk
15.89KB
2024-10-25 23:06:34
lua5.2-luacov-0.15.0-r0.apk
23.28KB
2024-10-25 23:06:34
lua5.2-luacov-html-1.0.0-r1.apk
412.76KB
2024-10-25 23:06:34
lua5.2-luastatic-0.0.12-r1.apk
8.79KB
2024-10-25 23:06:34
lua5.2-psl-0.3-r0.apk
5.30KB
2024-10-25 23:06:34
lua5.2-xml-1.1.3-r2.apk
22.00KB
2024-10-25 23:06:34
lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk
5.42KB
2024-10-30 07:03:02
lua5.3-editorconfig-0.3.0-r0.apk
4.18KB
2024-10-25 23:06:34
lua5.3-lanes-3.16.0-r1.apk
60.21KB
2024-10-25 23:06:34
lua5.3-linenoise-0.9-r1.apk
15.89KB
2024-10-25 23:06:34
lua5.3-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 23:06:34
lua5.3-luacov-html-1.0.0-r1.apk
412.77KB
2024-10-25 23:06:34
lua5.3-luastatic-0.0.12-r1.apk
8.83KB
2024-10-25 23:06:34
lua5.3-psl-0.3-r0.apk
5.34KB
2024-10-25 23:06:34
lua5.4-editorconfig-0.3.0-r0.apk
4.18KB
2024-10-25 23:06:34
lua5.4-lanes-3.16.0-r1.apk
59.71KB
2024-10-25 23:06:34
lua5.4-linenoise-0.9-r1.apk
15.88KB
2024-10-25 23:06:34
lua5.4-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 23:06:34
lua5.4-luastatic-0.0.12-r1.apk
8.91KB
2024-10-25 23:06:34
luacov-0.15.0-r0.apk
1.45KB
2024-10-25 23:06:34
luacov-html-1.0.0-r1.apk
1.21KB
2024-10-25 23:06:34
luapak-0.1.0_beta5-r0.apk
35.30KB
2024-10-25 23:06:34
luksmeta-9-r0.apk
12.51KB
2024-10-25 23:06:34
luksmeta-dev-9-r0.apk
3.10KB
2024-10-25 23:06:34
luksmeta-doc-9-r0.apk
5.48KB
2024-10-25 23:06:34
lumina-desktop-1.6.2-r0.apk
1.24KB
2024-10-25 23:06:34
lumina-desktop-archiver-1.6.2-r0.apk
156.86KB
2024-10-25 23:06:34
lumina-desktop-core-1.6.2-r0.apk
8.85MB
2024-10-25 23:06:35
lumina-desktop-coreutils-1.6.2-r0.apk
786.07KB
2024-10-25 23:06:35
lumina-desktop-doc-1.6.2-r0.apk
11.50KB
2024-10-25 23:06:35
lumina-desktop-fileinfo-1.6.2-r0.apk
150.35KB
2024-10-25 23:06:35
lumina-desktop-fm-1.6.2-r0.apk
373.05KB
2024-10-25 23:06:35
lumina-desktop-mediaplayer-1.6.2-r0.apk
190.09KB
2024-10-25 23:06:35
lumina-desktop-photo-1.6.2-r0.apk
117.23KB
2024-10-25 23:06:35
lumina-desktop-screenshot-1.6.2-r0.apk
155.94KB
2024-10-25 23:06:35
lumina-desktop-sudo-1.6.2-r0.apk
89.55KB
2024-10-25 23:06:35
lumina-desktop-textedit-1.6.2-r0.apk
181.40KB
2024-10-25 23:06:35
lumins-0.4.0-r2.apk
665.37KB
2024-10-25 23:06:35
lutgen-0.11.2-r0.apk
1.63MB
2024-10-25 23:06:35
lutgen-bash-completion-0.11.2-r0.apk
1.68KB
2024-10-25 23:06:35
lutgen-doc-0.11.2-r0.apk
4.19KB
2024-10-25 23:06:35
lutgen-fish-completion-0.11.2-r0.apk
1.73KB
2024-10-25 23:06:35
lutgen-zsh-completion-0.11.2-r0.apk
1.65KB
2024-10-25 23:06:35
lxappearance-0.6.3-r3.apk
28.67KB
2024-10-25 23:06:35
lxappearance-dev-0.6.3-r3.apk
3.24KB
2024-10-25 23:06:35
lxappearance-doc-0.6.3-r3.apk
2.61KB
2024-10-25 23:06:35
lxappearance-lang-0.6.3-r3.apk
80.50KB
2024-10-25 23:06:35
lxd-feature-5.20-r6.apk
66.20MB
2024-10-25 23:06:36
lxd-feature-bash-completion-5.20-r6.apk
5.11KB
2024-10-25 23:06:36
lxd-feature-doc-5.20-r6.apk
1.66KB
2024-10-25 23:06:36
lxd-feature-openrc-5.20-r6.apk
2.46KB
2024-10-25 23:06:36
lxd-feature-scripts-5.20-r6.apk
2.13KB
2024-10-25 23:06:36
lynis-3.1.1-r0.apk
271.25KB
2024-10-25 23:06:36
lynis-bash-completion-3.1.1-r0.apk
2.96KB
2024-10-25 23:06:36
lynis-doc-3.1.1-r0.apk
49.14KB
2024-10-25 23:06:36
lyrics-in-terminal-1.7.0-r0.apk
38.06KB
2025-01-03 12:09:40
lzfse-1.0-r0.apk
21.56KB
2024-10-25 23:06:36
lzfse-dev-1.0-r0.apk
3.42KB
2024-10-25 23:06:36
m2r2-0.3.3-r3.apk
12.50KB
2024-10-25 23:06:36
m2r2-pyc-0.3.3-r3.apk
15.60KB
2024-10-25 23:06:36
ma1sd-2.5.0-r3.apk
38.12MB
2024-10-25 23:06:36
ma1sd-openrc-2.5.0-r3.apk
1.96KB
2024-10-25 23:06:36
maddy-0.7.1-r5.apk
9.03MB
2024-10-25 23:06:37
maddy-doc-0.7.1-r5.apk
2.36KB
2024-10-25 23:06:37
maddy-openrc-0.7.1-r5.apk
1.96KB
2024-10-25 23:06:37
maddy-vim-0.7.1-r5.apk
3.65KB
2024-10-25 23:06:37
mage-1.13.0-r18.apk
1.40MB
2024-10-25 23:06:37
magic-wormhole-rs-0.7.4-r0.apk
2.60MB
2024-11-29 04:40:09
maildir2rss-0.0.7-r0.apk
3.05MB
2024-10-25 23:06:37
mailsec-check-0_git20210729-r21.apk
2.23MB
2024-10-25 23:06:37
mailtutan-0.3.0-r0.apk
1.21MB
2024-10-25 23:06:37
mailutils-3.18-r0.apk
202.02KB
2025-01-09 07:56:04
mailutils-dev-3.18-r0.apk
2.83MB
2025-01-09 07:56:04
mailutils-doc-3.18-r0.apk
159.98KB
2025-01-09 07:56:04
mailutils-libs-3.18-r0.apk
486.78KB
2025-01-09 07:56:04
mailutils-mh-3.18-r0.apk
1.32MB
2025-01-09 07:56:04
mailutils-servers-3.18-r0.apk
74.54KB
2025-01-09 07:56:04
makeclapman-2.4.4-r0.apk
1.24MB
2024-12-25 20:53:26
makeclapman-doc-2.4.4-r0.apk
4.09KB
2024-12-25 20:53:26
makedumpfile-1.7.6-r0.apk
171.34KB
2024-10-28 06:12:22
makedumpfile-doc-1.7.6-r0.apk
23.60KB
2024-10-28 06:12:22
makedumpfile-openrc-1.7.6-r0.apk
2.87KB
2024-10-28 06:12:22
makeself-2.5.0-r0.apk
13.00KB
2024-10-25 23:06:37
mame-0.251-r0.apk
88.13MB
2024-10-25 23:06:38
mame-arcade-0.251-r0.apk
60.82MB
2024-10-25 23:06:39
mame-common-0.251-r0.apk
2.65KB
2024-10-25 23:06:39
mame-data-0.251-r0.apk
19.12MB
2024-10-25 23:06:40
mame-doc-0.251-r0.apk
24.06KB
2024-10-25 23:06:40
mame-lang-0.251-r0.apk
1.43MB
2024-10-25 23:06:40
mame-mess-0.251-r0.apk
47.33MB
2024-10-25 23:06:40
mame-plugins-0.251-r0.apk
166.33KB
2024-10-25 23:06:40
mame-tools-0.251-r0.apk
2.39MB
2024-10-25 23:06:40
mangal-4.0.6-r13.apk
9.69MB
2024-10-25 23:06:41
mangal-bash-completion-4.0.6-r13.apk
4.98KB
2024-10-25 23:06:41
mangal-fish-completion-4.0.6-r13.apk
3.92KB
2024-10-25 23:06:41
mangal-zsh-completion-4.0.6-r13.apk
4.00KB
2024-10-25 23:06:41
mangr0ve-0.1.2-r0.apk
2.78KB
2024-10-25 23:06:41
mangr0ve-doc-0.1.2-r0.apk
14.40KB
2024-10-25 23:06:41
manifest-tool-2.1.7-r0.apk
3.83MB
2024-10-25 23:06:41
mapnik-3.1.0-r29.apk
10.42MB
2024-11-08 01:41:36
mapnik-dev-3.1.0-r29.apk
454.07KB
2024-11-08 01:41:36
mapnik-doc-3.1.0-r29.apk
134.84KB
2024-11-08 01:41:36
mapserver-8.2.2-r2.apk
1.31MB
2024-11-09 20:28:45
mapserver-dev-8.2.2-r2.apk
539.53KB
2024-11-09 20:28:45
marxan-4.0.7-r1.apk
519.96KB
2024-10-25 23:06:41
masky-0.2.0-r1.apk
277.72KB
2024-10-25 23:06:41
masky-pyc-0.2.0-r1.apk
64.33KB
2024-10-25 23:06:41
mat2-0.13.4-r3.apk
35.39KB
2024-10-25 23:06:41
mat2-doc-0.13.4-r3.apk
7.74KB
2024-10-25 23:06:41
mat2-pyc-0.13.4-r3.apk
53.87KB
2024-10-25 23:06:41
materia-20210322-r1.apk
1.70KB
2024-10-25 23:06:41
materia-chromium-20210322-r1.apk
5.71KB
2024-10-25 23:06:41
materia-compact-20210322-r1.apk
1.71KB
2024-10-25 23:06:41
materia-compact-chromium-20210322-r1.apk
5.72KB
2024-10-25 23:06:41
materia-compact-gnome-shell-20210322-r1.apk
31.63KB
2024-10-25 23:06:41
materia-compact-gtk2-20210322-r1.apk
37.79KB
2024-10-25 23:06:41
materia-compact-gtk3-20210322-r1.apk
64.55KB
2024-10-25 23:06:41
materia-dark-20210322-r1.apk
1.71KB
2024-10-25 23:06:41
materia-dark-chromium-20210322-r1.apk
5.73KB
2024-10-25 23:06:41
materia-dark-compact-20210322-r1.apk
1.72KB
2024-10-25 23:06:41
materia-dark-compact-chromium-20210322-r1.apk
5.74KB
2024-10-25 23:06:41
materia-dark-compact-gnome-shell-20210322-r1.apk
31.60KB
2024-10-25 23:06:41
materia-dark-compact-gtk2-20210322-r1.apk
37.73KB
2024-10-25 23:06:41
materia-dark-compact-gtk3-20210322-r1.apk
41.19KB
2024-10-25 23:06:41
materia-dark-compact-kde-kvantum-20220823-r0.apk
1.47KB
2024-10-25 23:06:41
materia-dark-gnome-shell-20210322-r1.apk
31.53KB
2024-10-25 23:06:41
materia-dark-gtk2-20210322-r1.apk
37.73KB
2024-10-25 23:06:41
materia-dark-gtk3-20210322-r1.apk
41.21KB
2024-10-25 23:06:41
materia-dark-kde-konsole-20220823-r0.apk
1.85KB
2024-10-25 23:06:41
materia-dark-kde-kvantum-20220823-r0.apk
30.00KB
2024-10-25 23:06:41
materia-dark-kde-plasma-20220823-r0.apk
502.93KB
2024-10-25 23:06:41
materia-dark-kde-yakuake-20220823-r0.apk
21.73KB
2024-10-25 23:06:41
materia-gnome-shell-20210322-r1.apk
31.53KB
2024-10-25 23:06:41
materia-gtk-theme-20210322-r1.apk
151.10KB
2024-10-25 23:06:41
materia-gtk2-20210322-r1.apk
37.79KB
2024-10-25 23:06:41
materia-gtk3-20210322-r1.apk
64.66KB
2024-10-25 23:06:41
materia-kde-20220823-r0.apk
19.34KB
2024-10-25 23:06:41
materia-kde-konsole-20220823-r0.apk
1.83KB
2024-10-25 23:06:41
materia-kde-kvantum-20220823-r0.apk
29.96KB
2024-10-25 23:06:41
materia-kde-plasma-20220823-r0.apk
1.70MB
2024-10-25 23:06:41
materia-light-compact-kde-kvantum-20220823-r0.apk
1.47KB
2024-10-25 23:06:41
materia-light-kde-kvantum-20220823-r0.apk
29.60KB
2024-10-25 23:06:41
materia-light-kde-plasma-20220823-r0.apk
20.11KB
2024-10-25 23:06:41
materia-light-kde-yakuake-20220823-r0.apk
21.50KB
2024-10-25 23:06:41
mautrix-bluesky-0.1.0-r0.apk
8.18MB
2024-12-25 00:55:56
mautrix-bluesky-doc-0.1.0-r0.apk
13.15KB
2024-12-25 00:55:56
mautrix-bluesky-openrc-0.1.0-r0.apk
1.90KB
2024-12-25 00:55:56
mautrix-discord-0.7.2-r0.apk
6.19MB
2024-12-17 05:56:03
mautrix-discord-doc-0.7.2-r0.apk
13.15KB
2024-12-17 05:56:03
mautrix-discord-openrc-0.7.2-r0.apk
1.90KB
2024-12-17 05:56:03
mautrix-twitter-0.2.0-r0.apk
6.11MB
2024-12-25 00:55:21
mautrix-twitter-doc-0.2.0-r0.apk
13.15KB
2024-12-25 00:55:21
mautrix-twitter-openrc-0.2.0-r0.apk
1.90KB
2024-12-25 00:55:21
maxima-5.47.0-r8.apk
21.67MB
2024-10-25 23:06:41
maxima-bash-completion-5.47.0-r8.apk
2.39KB
2024-10-25 23:06:41
maxima-doc-5.47.0-r8.apk
760.95KB
2024-10-25 23:06:41
maxima-doc-extra-5.47.0-r8.apk
10.03MB
2024-10-25 23:06:42
maxima-emacs-5.47.0-r8.apk
111.40KB
2024-10-25 23:06:42
mbrola-3.3-r0.apk
19.61KB
2024-10-25 23:06:42
mcjoin-2.11-r0.apk
22.17KB
2024-10-25 23:06:42
mcjoin-doc-2.11-r0.apk
53.73KB
2024-10-25 23:06:42
mcman-0.4.5-r0.apk
2.80MB
2024-10-25 23:06:42
mcman-doc-0.4.5-r0.apk
13.71KB
2024-10-25 23:06:42
mcqd-1.0.0-r1.apk
11.51KB
2024-10-25 23:06:42
mcqd-dev-1.0.0-r1.apk
4.03KB
2024-10-25 23:06:42
md5ha1-0_git20171202-r1.apk
9.11KB
2024-10-25 23:06:42
mdbook-admonish-1.18.0-r0.apk
1.03MB
2024-12-27 00:12:56
mdbook-alerts-0.7.0-r0.apk
759.47KB
2025-01-11 14:08:47
mdbook-katex-0.8.1-r0.apk
605.61KB
2024-10-25 23:06:42
mdbook-mermaid-0.14.0-r0.apk
1.62MB
2024-12-23 19:24:12
mdbook-plantuml-0.8.0-r0.apk
934.10KB
2024-10-25 23:06:42
mdcat-2.7.1-r0.apk
3.11MB
2024-12-14 20:04:52
mdcat-bash-completion-2.7.1-r0.apk
2.20KB
2024-12-14 20:04:52
mdcat-doc-2.7.1-r0.apk
6.04KB
2024-12-14 20:04:52
mdcat-fish-completion-2.7.1-r0.apk
2.14KB
2024-12-14 20:04:52
mdcat-zsh-completion-2.7.1-r0.apk
2.45KB
2024-12-14 20:04:52
mdnsd-0.12-r1.apk
22.53KB
2024-10-25 23:06:42
mdnsd-doc-0.12-r1.apk
14.36KB
2024-10-25 23:06:42
mdnsd-libs-0.12-r1.apk
16.90KB
2024-10-25 23:06:42
mdnsd-openrc-0.12-r1.apk
2.13KB
2024-10-25 23:06:42
mdnsd-static-0.12-r1.apk
16.92KB
2024-10-25 23:06:42
mdp-1.0.15-r1.apk
15.58KB
2024-10-25 23:06:42
mdp-doc-1.0.15-r1.apk
3.71KB
2024-10-25 23:06:42
mediascanner2-0.115-r0.apk
246.89KB
2024-10-25 23:06:42
mediastreamer2-5.3.41-r0.apk
338.74KB
2024-10-25 23:06:42
mediastreamer2-dev-5.3.41-r0.apk
112.45KB
2024-10-25 23:06:42
mediastreamer2-doc-5.3.41-r0.apk
109.27KB
2024-10-25 23:06:42
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk
10.99KB
2024-10-25 23:06:42
mediastreamer2-plugin-x264-20200722-r6.apk
7.50KB
2024-10-25 23:06:42
megatools-1.11.1.20241028-r0.apk
64.31KB
2024-10-29 21:34:43
megatools-bash-completion-1.11.1.20241028-r0.apk
4.04KB
2024-10-29 21:34:43
megatools-doc-1.11.1.20241028-r0.apk
51.81KB
2024-10-29 21:34:43
megazeux-2.93b-r0.apk
1.07MB
2024-10-25 23:06:42
megazeux-doc-2.93b-r0.apk
453.70KB
2024-10-25 23:06:42
meli-0.8.10-r0.apk
4.75MB
2024-12-16 12:10:18
meli-doc-0.8.10-r0.apk
47.32KB
2024-12-16 12:10:18
memdump-1.01-r1.apk
5.43KB
2024-10-25 23:06:42
memdump-doc-1.01-r1.apk
3.12KB
2024-10-25 23:06:42
menumaker-0.99.14-r1.apk
111.02KB
2024-10-25 23:06:42
mergerfs-2.40.2-r1.apk
278.40KB
2024-10-25 23:06:42
mergerfs-doc-2.40.2-r1.apk
41.82KB
2024-10-25 23:06:42
merlin-4.14-r0.apk
14.29MB
2024-10-25 23:06:42
merlin-dev-4.14-r0.apk
22.63MB
2024-10-25 23:06:42
merlin-emacs-4.14-r0.apk
28.51KB
2024-10-25 23:06:42
merlin-vim-4.14-r0.apk
27.89KB
2024-10-25 23:06:42
meson-tools-0.1-r2.apk
8.17KB
2024-12-09 18:38:23
meson-tools-doc-0.1-r2.apk
8.29KB
2024-12-09 18:38:23
mesonlsp-4.3.7-r0.apk
2.13MB
2024-10-25 23:06:42
metalang99-1.13.3-r0.apk
54.30KB
2024-10-25 23:06:42
milkytracker-1.04.00-r2.apk
972.14KB
2024-10-25 23:06:43
milkytracker-doc-1.04.00-r2.apk
50.40KB
2024-10-25 23:06:43
mimalloc1-1.8.6-r0.apk
57.76KB
2024-10-25 23:06:43
mimalloc1-debug-1.8.6-r0.apk
181.76KB
2024-10-25 23:06:43
mimalloc1-dev-1.8.6-r0.apk
400.60KB
2024-10-25 23:06:43
mimalloc1-insecure-1.8.6-r0.apk
53.39KB
2024-10-25 23:06:43
mimedefang-3.5-r0.apk
158.02KB
2024-10-25 23:06:43
mimedefang-doc-3.5-r0.apk
80.84KB
2024-10-25 23:06:43
mimeo-2023-r2.apk
28.15KB
2024-10-25 23:06:43
mimeo-pyc-2023-r2.apk
41.55KB
2024-10-25 23:06:43
minidyndns-1.3.0-r3.apk
11.64KB
2024-10-25 23:06:43
minidyndns-doc-1.3.0-r3.apk
5.11KB
2024-10-25 23:06:43
minidyndns-openrc-1.3.0-r3.apk
1.85KB
2024-10-25 23:06:43
minimodem-0.24-r1.apk
19.98KB
2024-10-25 23:06:43
minimodem-doc-0.24-r1.apk
5.20KB
2024-10-25 23:06:43
minisatip-1.3.4-r0.apk
329.18KB
2024-10-25 23:06:43
minisatip-openrc-1.3.4-r0.apk
1.88KB
2024-10-25 23:06:43
mint-themes-2.1.1-r0.apk
2.20KB
2024-10-25 23:06:43
mint-themes-doc-2.1.1-r0.apk
12.82KB
2024-10-25 23:06:43
mint-x-icons-1.7.2-r0.apk
22.48MB
2024-12-24 12:07:13
mint-x-icons-doc-1.7.2-r0.apk
7.38KB
2024-12-24 12:07:13
mint-x-theme-2.1.1-r0.apk
2.33KB
2024-10-25 23:06:43
mint-x-theme-gtk2-2.1.1-r0.apk
489.88KB
2024-10-25 23:06:43
mint-x-theme-gtk3-2.1.1-r0.apk
603.48KB
2024-10-25 23:06:43
mint-x-theme-gtk4-2.1.1-r0.apk
510.48KB
2024-10-25 23:06:43
mint-x-theme-metacity-2.1.1-r0.apk
6.06KB
2024-10-25 23:06:43
mint-x-theme-xfwm4-2.1.1-r0.apk
34.81KB
2024-10-25 23:06:43
mint-y-icons-1.8.0-r0.apk
72.28MB
2024-12-24 12:08:50
mint-y-icons-doc-1.8.0-r0.apk
11.18KB
2024-12-24 12:08:50
mint-y-theme-2.1.1-r0.apk
4.13KB
2024-10-25 23:06:44
mint-y-theme-gtk2-2.1.1-r0.apk
656.14KB
2024-10-25 23:06:44
mint-y-theme-gtk3-2.1.1-r0.apk
1.83MB
2024-10-25 23:06:44
mint-y-theme-gtk4-2.1.1-r0.apk
1.56MB
2024-10-25 23:06:44
mint-y-theme-metacity-2.1.1-r0.apk
57.73KB
2024-10-25 23:06:44
mint-y-theme-xfwm4-2.1.1-r0.apk
207.01KB
2024-10-25 23:06:44
mir-2.15.0-r4.apk
1.52MB
2024-10-25 23:06:44
mir-demos-2.15.0-r4.apk
107.49KB
2024-10-25 23:06:44
mir-dev-2.15.0-r4.apk
4.25MB
2024-10-25 23:06:44
mir-test-tools-2.15.0-r4.apk
193.58KB
2024-10-25 23:06:44
mirrorhall-0.1.1-r0.apk
26.27KB
2025-01-13 01:02:16
mjpg-streamer-0_git20210220-r1.apk
197.90KB
2024-10-25 23:06:45
mjpg-streamer-input-raspicam-0_git20210220-r1.apk
15.52KB
2024-10-25 23:06:45
mkcert-1.4.4-r14.apk
1.49MB
2024-10-25 23:06:45
mkdocs-bootstrap-1.1.1-r2.apk
28.52KB
2024-10-25 23:06:45
mkdocs-bootstrap-pyc-1.1.1-r2.apk
1.81KB
2024-10-25 23:06:45
mkdocs-bootstrap386-0.0.2-r5.apk
791.25KB
2024-10-25 23:06:45
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-bootstrap4-0.1.5-r5.apk
260.05KB
2024-10-25 23:06:45
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-bootswatch-1.1-r5.apk
538.40KB
2024-10-25 23:06:45
mkdocs-bootswatch-pyc-1.1-r5.apk
4.72KB
2024-10-25 23:06:45
mkdocs-cinder-1.2.0-r5.apk
248.63KB
2024-10-25 23:06:45
mkdocs-cinder-pyc-1.2.0-r5.apk
1.79KB
2024-10-25 23:06:45
mkdocs-cluster-0.0.9-r5.apk
651.14KB
2024-10-25 23:06:45
mkdocs-cluster-pyc-0.0.9-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-gitbook-0.0.1-r5.apk
644.13KB
2024-10-25 23:06:45
mkdocs-gitbook-pyc-0.0.1-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-ivory-0.4.6-r5.apk
10.93KB
2024-10-25 23:06:45
mkdocs-ivory-pyc-0.4.6-r5.apk
1.80KB
2024-10-25 23:06:45
mkdocs-rtd-dropdown-1.0.2-r5.apk
248.38KB
2024-10-25 23:06:45
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
1.81KB
2024-10-25 23:06:45
mkdocs-windmill-1.0.5-r4.apk
944.06KB
2024-10-25 23:06:45
mkdocs-windmill-pyc-1.0.5-r4.apk
1.81KB
2024-10-25 23:06:45
mkg3a-0.5.0-r1.apk
16.01KB
2024-10-25 23:06:45
mkg3a-doc-0.5.0-r1.apk
3.06KB
2024-10-25 23:06:45
mkosi-24.3-r0.apk
212.02KB
2024-10-25 23:06:45
mkosi-pyc-24.3-r0.apk
335.71KB
2024-10-25 23:06:45
mktorrent-borg-0.9.9-r1.apk
9.99KB
2024-10-25 23:06:45
mktorrent-borg-doc-0.9.9-r1.apk
2.49KB
2024-10-25 23:06:45
mlxl-0.1-r0.apk
5.54KB
2024-10-25 23:06:45
mm-1.4.2-r1.apk
7.10KB
2024-10-25 23:06:45
mm-common-1.0.5-r0.apk
473.04KB
2024-10-25 23:06:45
mm-common-doc-1.0.5-r0.apk
31.70KB
2024-10-25 23:06:45
mm-dev-1.4.2-r1.apk
11.83KB
2024-10-25 23:06:45
mm-doc-1.4.2-r1.apk
14.31KB
2024-10-25 23:06:45
mmix-0_git20221025-r0.apk
177.42KB
2024-10-25 23:06:45
mml-1.0.0-r0.apk
861.26KB
2024-10-25 23:06:45
mml-bash-completion-1.0.0-r0.apk
2.28KB
2024-10-25 23:06:45
mml-doc-1.0.0-r0.apk
3.89KB
2024-10-25 23:06:45
mml-fish-completion-1.0.0-r0.apk
2.26KB
2024-10-25 23:06:45
mml-zsh-completion-1.0.0-r0.apk
2.82KB
2024-10-25 23:06:45
mmtc-0.3.2-r0.apk
507.86KB
2024-10-25 23:06:45
mnamer-2.5.5-r1.apk
31.71KB
2024-10-25 23:06:45
mnamer-pyc-2.5.5-r1.apk
60.48KB
2024-10-25 23:06:45
mobroute-0.9.0-r0.apk
4.16MB
2024-12-30 06:09:39
mobroute-doc-0.9.0-r0.apk
1.34MB
2024-12-30 06:09:39
moccasin-0.1.3-r0.apk
1.82MB
2024-10-25 23:06:45
moccasin-doc-0.1.3-r0.apk
5.35KB
2024-10-25 23:06:45
mod_dnssd-0.6-r0.apk
8.21KB
2024-10-25 23:06:45
modem-manager-gui-0.0.20-r0.apk
322.77KB
2024-10-25 23:06:45
modem-manager-gui-doc-0.0.20-r0.apk
3.94MB
2024-10-25 23:06:45
modem-manager-gui-lang-0.0.20-r0.apk
129.27KB
2024-10-25 23:06:45
moe-1.14-r0.apk
102.28KB
2024-10-25 23:06:45
moe-doc-1.14-r0.apk
18.98KB
2024-10-25 23:06:45
moka-icon-theme-5.4.0-r2.apk
113.74MB
2024-10-25 23:06:47
monetdb-11.33.11-r4.apk
2.29MB
2024-10-25 23:06:47
monetdb-dev-11.33.11-r4.apk
77.10KB
2024-10-25 23:06:47
monetdb-doc-11.33.11-r4.apk
320.93KB
2024-10-25 23:06:47
mongo-cxx-driver-3.8.0-r0.apk
167.03KB
2024-10-25 23:06:47
mongo-cxx-driver-dev-3.8.0-r0.apk
88.86KB
2024-10-25 23:06:47
monopd-0.10.4-r0.apk
89.53KB
2025-01-11 13:11:30
monopd-openrc-0.10.4-r0.apk
1.68KB
2025-01-11 13:11:30
moon-buggy-1.0.51-r1.apk
33.71KB
2024-10-25 23:06:47
moon-buggy-doc-1.0.51-r1.apk
7.13KB
2024-10-25 23:06:47
moosefs-3.0.117-r2.apk
230.17KB
2024-10-25 23:06:47
moosefs-cgi-3.0.117-r2.apk
63.21KB
2024-10-25 23:06:47
moosefs-cgiserv-3.0.117-r2.apk
7.74KB
2024-10-25 23:06:47
moosefs-cgiserv-openrc-3.0.117-r2.apk
1.94KB
2024-10-25 23:06:47
moosefs-chunkserver-3.0.117-r2.apk
188.36KB
2024-10-25 23:06:47
moosefs-chunkserver-openrc-3.0.117-r2.apk
1.62KB
2024-10-25 23:06:47
moosefs-client-3.0.117-r2.apk
335.37KB
2024-10-25 23:06:47
moosefs-doc-3.0.117-r2.apk
63.76KB
2024-10-25 23:06:47
moosefs-master-3.0.117-r2.apk
299.12KB
2024-10-25 23:06:47
moosefs-master-openrc-3.0.117-r2.apk
1.61KB
2024-10-25 23:06:47
moosefs-metalogger-3.0.117-r2.apk
33.67KB
2024-10-25 23:06:47
moosefs-metalogger-openrc-3.0.117-r2.apk
1.61KB
2024-10-25 23:06:47
moosefs-static-3.0.117-r2.apk
599.46KB
2024-10-25 23:06:47
motion-4.7.0-r0.apk
144.67KB
2024-10-25 23:06:47
motion-doc-4.7.0-r0.apk
139.89KB
2024-10-25 23:06:47
motion-lang-4.7.0-r0.apk
471.21KB
2024-10-25 23:06:47
motion-openrc-4.7.0-r0.apk
2.25KB
2024-10-25 23:06:47
mp3gain-1.6.2-r3.apk
32.65KB
2025-01-03 18:47:26
mp3val-0.1.8-r1.apk
13.20KB
2024-10-25 23:06:47
mpdcron-0.3-r1.apk
96.20KB
2024-10-25 23:06:47
mpdcron-dev-0.3-r1.apk
55.90KB
2024-10-25 23:06:47
mpdcron-doc-0.3-r1.apk
13.37KB
2024-10-25 23:06:47
mpdcron-zsh-completion-0.3-r1.apk
2.88KB
2024-10-25 23:06:47
mpdris2-0.9.1-r3.apk
15.19KB
2024-10-25 23:06:47
mpdris2-doc-0.9.1-r3.apk
14.87KB
2024-10-25 23:06:47
mpdris2-lang-0.9.1-r3.apk
2.33KB
2024-10-25 23:06:47
mpdris2-rs-0.2.3-r0.apk
768.23KB
2024-10-25 23:06:47
mpdris2-rs-doc-0.2.3-r0.apk
13.58KB
2024-10-25 23:06:47
mpop-1.4.20-r1.apk
65.39KB
2024-10-25 23:06:47
mpop-doc-1.4.20-r1.apk
33.23KB
2024-10-25 23:06:47
mpop-lang-1.4.20-r1.apk
130.41KB
2024-10-25 23:06:47
mpop-vim-1.4.20-r1.apk
2.68KB
2024-10-25 23:06:47
mptcp-get-debug-0.13-r1.apk
2.45KB
2025-01-10 19:18:08
mptcpd-0.13-r1.apk
46.73KB
2025-01-10 19:18:08
mptcpd-dbg-0.13-r1.apk
116.20KB
2025-01-10 19:18:08
mptcpd-dev-0.13-r1.apk
10.90KB
2025-01-10 19:18:08
mptcpd-doc-0.13-r1.apk
3.04KB
2025-01-10 19:18:08
mptcpd-openrc-0.13-r1.apk
1.89KB
2025-01-10 19:18:08
mptcpize-0.13-r1.apk
18.29KB
2025-01-10 19:18:08
mptcpize-dbg-0.13-r1.apk
14.52KB
2025-01-10 19:18:08
mptcpize-doc-0.13-r1.apk
2.31KB
2025-01-10 19:18:08
mpv-sponsorblock-2.1.0-r0.apk
1.39MB
2024-10-25 23:06:47
mqtt2prometheus-0.1.7-r11.apk
3.91MB
2024-10-25 23:06:47
mrsh-0_git20210518-r1.apk
5.19KB
2024-10-25 23:06:47
mrsh-dbg-0_git20210518-r1.apk
203.04KB
2024-10-25 23:06:47
mrsh-dev-0_git20210518-r1.apk
9.99KB
2024-10-25 23:06:47
mrsh-libs-0_git20210518-r1.apk
53.89KB
2024-10-25 23:06:47
msgpuck-2.0-r1.apk
1.21KB
2024-10-25 23:06:47
msgpuck-dev-2.0-r1.apk
22.40KB
2024-10-25 23:06:47
msgpuck-doc-2.0-r1.apk
7.33KB
2024-10-25 23:06:47
msh-2.5.0-r7.apk
2.53MB
2024-10-25 23:06:47
msh-openrc-2.5.0-r7.apk
2.01KB
2024-10-25 23:06:47
mspdebug-0.25-r1.apk
168.27KB
2024-10-25 23:06:47
mspdebug-doc-0.25-r1.apk
14.21KB
2024-10-25 23:06:47
mtree-portable-0_git20220519-r0.apk
25.43KB
2024-10-25 23:06:47
mtree-portable-doc-0_git20220519-r0.apk
11.51KB
2024-10-25 23:06:47
muon-0.3.0-r0.apk
259.99KB
2024-10-25 23:06:47
muon-doc-0.3.0-r0.apk
73.44KB
2024-10-25 23:06:47
muse-4.2.1-r1.apk
5.84MB
2024-10-25 23:06:47
muse-doc-4.2.1-r1.apk
4.11MB
2024-10-25 23:06:47
musikcube-3.0.4-r0.apk
2.09MB
2024-10-25 23:06:47
musikcube-dev-3.0.4-r0.apk
20.54KB
2024-10-25 23:06:47
musikcube-plugin-all-3.0.4-r0.apk
1.51KB
2024-10-25 23:06:47
musikcube-plugin-httpdatastream-3.0.4-r0.apk
72.60KB
2024-10-25 23:06:47
musikcube-plugin-mpris-3.0.4-r0.apk
19.14KB
2024-10-25 23:06:47
musikcube-plugin-openmpt-3.0.4-r0.apk
27.27KB
2024-10-25 23:06:47
musikcube-plugin-server-3.0.4-r0.apk
353.56KB
2024-10-25 23:06:47
musikcube-plugin-stockencoders-3.0.4-r0.apk
17.45KB
2024-10-25 23:06:47
musikcube-plugin-supereqdsp-3.0.4-r0.apk
23.19KB
2024-10-25 23:06:47
musikcube-plugin-taglibreader-3.0.4-r0.apk
30.18KB
2024-10-25 23:06:47
mxclient-0_git20211002-r1.apk
65.74KB
2024-10-25 23:06:47
mypaint-2.0.1-r1.apk
3.84MB
2024-10-25 23:06:47
mypaint-lang-2.0.1-r1.apk
1.19MB
2024-10-25 23:06:48
mypaint-pyc-2.0.1-r1.apk
1.12MB
2024-10-25 23:06:48
n30f-2.0-r3.apk
6.67KB
2024-10-25 23:06:48
nano-hare-0_git20231021-r0.apk
2.16KB
2024-10-25 23:06:48
nauty-2.8.9-r0.apk
4.97MB
2024-10-25 23:06:48
nauty-dev-2.8.9-r0.apk
2.65MB
2024-10-25 23:06:48
nauty-libs-2.8.9-r0.apk
1.94MB
2024-10-25 23:06:48
nb-7.15.0-r0.apk
150.73KB
2024-12-15 23:49:29
nb-bash-completion-7.15.0-r0.apk
2.93KB
2024-12-15 23:49:29
nb-doc-7.15.0-r0.apk
76.17KB
2024-12-15 23:49:29
nb-fish-completion-7.15.0-r0.apk
2.73KB
2024-12-15 23:49:29
nb-full-7.15.0-r0.apk
1.24KB
2024-12-15 23:49:29
nb-zsh-completion-7.15.0-r0.apk
2.89KB
2024-12-15 23:49:29
nbsdgames-5-r0.apk
98.53KB
2024-10-25 23:06:48
nbsdgames-doc-5-r0.apk
9.39KB
2024-10-25 23:06:48
neard-0.19-r0.apk
134.67KB
2024-10-25 23:06:48
neard-dev-0.19-r0.apk
11.07KB
2024-10-25 23:06:48
neard-doc-0.19-r0.apk
5.58KB
2024-10-25 23:06:48
neard-openrc-0.19-r0.apk
1.69KB
2024-10-25 23:06:48
neko-2.3.0-r0.apk
432.49KB
2024-11-21 00:26:12
neko-dev-2.3.0-r0.apk
10.38KB
2024-11-21 00:26:12
neko-doc-2.3.0-r0.apk
19.92KB
2024-11-21 00:26:12
nemo-gtkhash-plugin-1.5-r0.apk
21.99KB
2024-10-25 23:06:48
neocmakelsp-0.8.14-r0.apk
1.75MB
2025-01-12 15:22:56
neocmakelsp-bash-completion-0.8.14-r0.apk
2.30KB
2025-01-12 15:22:56
neocmakelsp-doc-0.8.14-r0.apk
5.42KB
2025-01-12 15:22:56
neocmakelsp-fish-completion-0.8.14-r0.apk
2.22KB
2025-01-12 15:22:56
neocmakelsp-zsh-completion-0.8.14-r0.apk
2.46KB
2025-01-12 15:22:56
neofetch-7.1.0-r2.apk
85.65KB
2024-11-06 23:46:54
neofetch-doc-7.1.0-r2.apk
6.18KB
2024-11-06 23:46:54
netdiscover-0.10-r0.apk
488.33KB
2024-10-25 23:06:48
netdiscover-doc-0.10-r0.apk
21.96KB
2024-10-25 23:06:48
netscanner-0.5.1-r1.apk
3.59MB
2024-10-25 23:06:48
netscanner-doc-0.5.1-r1.apk
3.33KB
2024-10-25 23:06:48
netsed-1.3-r3.apk
9.88KB
2024-10-25 23:06:48
netsurf-3.11-r0.apk
2.03MB
2024-10-25 23:06:48
netsurf-doc-3.11-r0.apk
4.36KB
2024-10-25 23:06:48
netsurf-framebuffer-3.11-r0.apk
2.64MB
2024-10-25 23:06:48
netsurf-framebuffer-doc-3.11-r0.apk
3.87KB
2024-10-25 23:06:48
newsyslog-1.2.0.91-r1.apk
18.92KB
2024-10-25 23:06:48
newsyslog-doc-1.2.0.91-r1.apk
24.02KB
2024-10-25 23:06:48
nextpnr-0.7-r0.apk
1.45KB
2024-10-25 23:06:48
nextpnr-ecp5-0.7-r0.apk
25.39MB
2024-10-25 23:06:48
nextpnr-generic-0.7-r0.apk
708.61KB
2024-10-25 23:06:48
nextpnr-gowin-0.7-r0.apk
1.45MB
2024-10-25 23:06:48
nextpnr-ice40-0.7-r0.apk
68.53MB
2024-10-25 23:06:49
nfoview-2.0.1-r0.apk
38.94KB
2024-10-25 23:06:49
nfoview-doc-2.0.1-r0.apk
8.01KB
2024-10-25 23:06:49
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
712.72KB
2024-10-25 23:06:49
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
21.26KB
2024-10-25 23:06:49
nicotine-plus-3.3.7-r0.apk
1.50MB
2024-12-16 16:21:53
nicotine-plus-doc-3.3.7-r0.apk
2.51KB
2024-12-16 16:21:53
nicotine-plus-lang-3.3.7-r0.apk
660.93KB
2024-12-16 16:21:53
nicotine-plus-pyc-3.3.7-r0.apk
781.01KB
2024-12-16 16:21:53
nitro-2.7_beta8-r2.apk
501.34KB
2024-10-25 23:06:50
nitro-dev-2.7_beta8-r2.apk
189.96KB
2024-10-25 23:06:50
nitrocli-0.4.1-r3.apk
432.60KB
2024-10-25 23:06:50
nitrocli-bash-completion-0.4.1-r3.apk
3.24KB
2024-10-25 23:06:50
nitrocli-doc-0.4.1-r3.apk
8.83KB
2024-10-25 23:06:50
nixpacks-0.1.7-r1.apk
898.31KB
2024-10-25 23:06:50
nkk-0_git20221010-r0.apk
12.93KB
2024-10-25 23:06:50
nkk-dev-0_git20221010-r0.apk
2.90KB
2024-10-25 23:06:50
nkk-doc-0_git20221010-r0.apk
6.97KB
2024-10-25 23:06:50
nlopt-2.9.1-r0.apk
173.01KB
2024-12-28 07:46:02
nlopt-dev-2.9.1-r0.apk
11.84KB
2024-12-28 07:46:02
nlopt-doc-2.9.1-r0.apk
22.78KB
2024-12-28 07:46:02
nlopt-guile-2.9.1-r0.apk
41.25KB
2024-12-28 07:46:02
nlopt-octave-2.9.1-r0.apk
26.08KB
2024-12-28 07:46:02
nm-tray-0.5.0-r0.apk
95.67KB
2024-10-25 23:06:50
nm-tray-lang-0.5.0-r0.apk
26.89KB
2024-10-25 23:06:50
nmap-parse-output-1.5.1-r0.apk
21.40KB
2024-10-25 23:06:50
nmap-parse-output-bash-completion-1.5.1-r0.apk
1.74KB
2024-10-25 23:06:50
nmap-parse-output-doc-1.5.1-r0.apk
807.49KB
2024-10-25 23:06:50
nmon-16q-r0.apk
82.25KB
2024-10-25 23:06:50
noblenote-1.2.1-r1.apk
383.55KB
2024-10-25 23:06:50
noggin-0.1-r11.apk
1.26MB
2024-10-25 23:06:50
noggin-doc-0.1-r11.apk
2.89KB
2024-10-25 23:06:50
noggin-model-0.1-r0.apk
11.99MB
2024-10-25 23:06:50
noggin-model-lightweight-0.1-r0.apk
1.68MB
2024-10-25 23:06:50
noice-0.8-r1.apk
8.69KB
2024-10-25 23:06:50
noice-doc-0.8-r1.apk
3.36KB
2024-10-25 23:06:50
nom-2.6.1-r0.apk
6.60MB
2024-10-25 23:06:50
normaliz-3.10.4-r0.apk
39.08KB
2024-10-30 15:45:45
normaliz-dev-3.10.4-r0.apk
72.50KB
2024-10-30 15:45:45
normaliz-libs-3.10.4-r0.apk
2.59MB
2024-10-30 15:45:45
notification-daemon-3.20.0-r0.apk
58.25KB
2024-10-25 23:06:50
nsh-0.4.2-r1.apk
614.97KB
2024-10-25 23:06:50
nsh-dbg-0.4.2-r1.apk
3.35MB
2024-10-25 23:06:50
nsnake-3.0.0-r0.apk
8.70KB
2024-10-25 23:06:50
nsnake-doc-3.0.0-r0.apk
2.63KB
2024-10-25 23:06:50
nsq-1.3.0-r5.apk
22.01MB
2024-10-25 23:06:50
ntpd-rs-1.4.0-r0.apk
2.40MB
2024-12-14 21:00:57
ntpd-rs-doc-1.4.0-r0.apk
22.71KB
2024-12-14 21:00:57
ntpd-rs-openrc-1.4.0-r0.apk
1.85KB
2024-12-14 21:00:57
nuklear-4.12.0-r0.apk
219.81KB
2024-10-25 23:06:50
nuklear-doc-4.12.0-r0.apk
42.41KB
2024-10-25 23:06:50
nullmailer-2.2-r4.apk
92.71KB
2024-10-25 23:06:50
nullmailer-doc-2.2-r4.apk
10.22KB
2024-10-25 23:06:50
nullmailer-openrc-2.2-r4.apk
1.60KB
2024-10-25 23:06:50
numbat-1.9.0-r0.apk
1.11MB
2024-10-25 23:06:50
numbat-doc-1.9.0-r0.apk
24.73KB
2024-10-25 23:06:50
nuzzle-1.5-r0.apk
11.84KB
2024-10-25 23:06:50
nuzzle-doc-1.5-r0.apk
3.29KB
2024-10-25 23:06:50
nvim-cmp-0.0.0_git20221011-r1.apk
54.85KB
2024-10-25 23:06:50
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
7.81KB
2024-10-25 23:06:50
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
4.15KB
2024-10-25 23:06:50
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
3.32KB
2024-10-25 23:06:50
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
1.79KB
2024-10-25 23:06:50
nvim-cmp-doc-0.0.0_git20221011-r1.apk
10.46KB
2024-10-25 23:06:50
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
3.48KB
2024-10-25 23:06:50
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2.60KB
2024-10-25 23:06:50
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
3.52KB
2024-10-25 23:06:50
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2.04KB
2024-10-25 23:06:50
nvim-cmp-path-0.0.0_git20221002-r1.apk
3.77KB
2024-10-25 23:06:50
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
1.98KB
2024-10-25 23:06:50
nvim-gruvbox-0.0.0_git20221212-r1.apk
10.17KB
2024-10-25 23:06:50
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
2.80KB
2024-10-25 23:06:50
nvim-lualine-0.0.0_git20241101-r0.apk
59.55KB
2024-11-14 01:16:55
nvim-lualine-doc-0.0.0_git20241101-r0.apk
30.56KB
2024-11-14 01:16:55
nvim-packer-0.0.0_git20220910-r1.apk
45.61KB
2024-10-25 23:06:50
nvim-packer-doc-0.0.0_git20220910-r1.apk
21.19KB
2024-10-25 23:06:50
nvim-plenary-0.1.4_git20240917-r0.apk
102.00KB
2024-11-11 06:07:22
nvim-plenary-doc-0.1.4_git20240917-r0.apk
10.31KB
2024-11-11 06:07:22
nvim-treesitter-0.9.3-r0.apk
388.25KB
2024-11-04 05:04:53
nvim-treesitter-doc-0.9.3-r0.apk
29.73KB
2024-11-04 05:04:53
nvim-web-devicons-0.100_git20241011-r0.apk
26.82KB
2024-11-11 05:57:58
nvim-web-devicons-doc-0.100_git20241011-r0.apk
7.12KB
2024-11-11 05:57:58
nvimpager-0.12.0-r0.apk
12.56KB
2024-10-25 23:06:50
nvimpager-doc-0.12.0-r0.apk
4.40KB
2024-10-25 23:06:50
nvimpager-zsh-completion-0.12.0-r0.apk
1.80KB
2024-10-25 23:06:50
nvtop-3.1.0-r0.apk
62.45KB
2024-10-25 23:06:50
nvtop-doc-3.1.0-r0.apk
3.50KB
2024-10-25 23:06:50
nwg-bar-0.1.6-r5.apk
1.41MB
2024-10-25 23:06:50
nwg-displays-0.3.13-r1.apk
23.61KB
2024-10-25 23:06:50
nwg-displays-pyc-0.3.13-r1.apk
34.76KB
2024-10-25 23:06:50
nwg-dock-0.3.9-r6.apk
1.50MB
2024-10-25 23:06:50
nwg-panel-0.9.60-r0.apk
274.55KB
2025-01-11 12:24:34
nwg-panel-doc-0.9.60-r0.apk
4.22KB
2025-01-11 12:24:34
nwg-panel-pyc-0.9.60-r0.apk
251.71KB
2025-01-11 12:24:34
nymphcast-mediaserver-0.1-r3.apk
108.13KB
2024-10-25 23:06:50
nymphcast-mediaserver-nftables-0.1-r3.apk
1.68KB
2024-10-25 23:06:50
nzbget-24.5-r0.apk
4.68MB
2024-12-24 12:41:35
nzbget-openrc-24.5-r0.apk
2.02KB
2024-12-24 12:41:35
oauth2-proxy-7.6.0-r6.apk
7.35MB
2024-10-25 23:06:51
oauth2-proxy-openrc-7.6.0-r6.apk
2.14KB
2024-10-25 23:06:51
objconv-2.52_git20210213-r2.apk
230.67KB
2024-10-25 23:06:51
oblibs-0.3.1.1-r0.apk
28.72KB
2025-01-11 12:19:24
oblibs-dev-0.3.1.1-r0.apk
57.17KB
2025-01-11 12:19:24
ocaml-alcotest-1.5.0-r4.apk
460.54KB
2024-10-25 23:06:51
ocaml-alcotest-dev-1.5.0-r4.apk
821.01KB
2024-10-25 23:06:51
ocaml-amqp-client-2.3.0-r0.apk
605.44KB
2024-10-25 23:06:51
ocaml-amqp-client-dev-2.3.0-r0.apk
1.02MB
2024-10-25 23:06:51
ocaml-angstrom-0.16.0-r0.apk
176.16KB
2024-10-25 23:06:51
ocaml-angstrom-dev-0.16.0-r0.apk
340.96KB
2024-10-25 23:06:51
ocaml-arp-3.0.0-r3.apk
84.11KB
2024-10-25 23:06:51
ocaml-arp-dev-3.0.0-r3.apk
159.39KB
2024-10-25 23:06:51
ocaml-asn1-combinators-0.2.6-r2.apk
315.97KB
2024-10-25 23:06:51
ocaml-asn1-combinators-dev-0.2.6-r2.apk
573.83KB
2024-10-25 23:06:51
ocaml-astring-0.8.5-r2.apk
285.78KB
2024-10-25 23:06:51
ocaml-astring-dev-0.8.5-r2.apk
179.82KB
2024-10-25 23:06:51
ocaml-atd-2.15.0-r0.apk
6.69MB
2024-10-25 23:06:51
ocaml-atd-dev-2.15.0-r0.apk
1.90MB
2024-10-25 23:06:51
ocaml-base-0.16.3-r0.apk
4.58MB
2024-10-25 23:06:51
ocaml-base-dev-0.16.3-r0.apk
9.65MB
2024-10-25 23:06:51
ocaml-base64-3.5.0-r2.apk
89.33KB
2024-10-25 23:06:51
ocaml-base64-dev-3.5.0-r2.apk
175.16KB
2024-10-25 23:06:51
ocaml-bigarray-compat-1.1.0-r2.apk
12.36KB
2024-10-25 23:06:51
ocaml-bigarray-compat-dev-1.1.0-r2.apk
12.05KB
2024-10-25 23:06:51
ocaml-bigstringaf-0.9.0-r2.apk
46.31KB
2024-10-25 23:06:51
ocaml-bigstringaf-dev-0.9.0-r2.apk
91.05KB
2024-10-25 23:06:51
ocaml-biniou-1.2.1-r5.apk
563.63KB
2024-10-25 23:06:51
ocaml-biniou-dev-1.2.1-r5.apk
365.50KB
2024-10-25 23:06:51
ocaml-bisect_ppx-2.8.3-r0.apk
4.56MB
2024-10-25 23:06:51
ocaml-bisect_ppx-dev-2.8.3-r0.apk
551.34KB
2024-10-25 23:06:51
ocaml-bitstring-4.1.0-r3.apk
4.00MB
2024-10-25 23:06:51
ocaml-bitstring-dev-4.1.0-r3.apk
579.57KB
2024-10-25 23:06:51
ocaml-bos-0.2.1-r2.apk
461.39KB
2024-10-25 23:06:51
ocaml-bos-dev-0.2.1-r2.apk
350.87KB
2024-10-25 23:06:51
ocaml-ca-certs-0.2.2-r2.apk
28.41KB
2024-10-25 23:06:51
ocaml-ca-certs-dev-0.2.2-r2.apk
39.97KB
2024-10-25 23:06:51
ocaml-ca-certs-nss-3.89.1-r1.apk
394.64KB
2024-10-25 23:06:51
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
446.84KB
2024-10-25 23:06:51
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
1.50MB
2024-10-25 23:06:51
ocaml-cairo2-0.6.2-r2.apk
165.19KB
2024-10-25 23:06:51
ocaml-cairo2-dev-0.6.2-r2.apk
448.62KB
2024-10-25 23:06:51
ocaml-calendar-2.04-r4.apk
219.04KB
2024-10-25 23:06:51
ocaml-calendar-dev-2.04-r4.apk
162.41KB
2024-10-25 23:06:51
ocaml-calendar-doc-2.04-r4.apk
11.85KB
2024-10-25 23:06:51
ocaml-camlzip-1.11-r2.apk
113.73KB
2024-10-25 23:06:51
ocaml-camlzip-dev-1.11-r2.apk
219.64KB
2024-10-25 23:06:51
ocaml-camomile-1.0.2-r3.apk
1.32MB
2024-10-25 23:06:51
ocaml-camomile-data-1.0.2-r3.apk
5.06MB
2024-10-25 23:06:51
ocaml-camomile-dev-1.0.2-r3.apk
2.48MB
2024-10-25 23:06:52
ocaml-charinfo_width-1.1.0-r3.apk
103.99KB
2024-10-25 23:06:52
ocaml-charinfo_width-dev-1.1.0-r3.apk
189.35KB
2024-10-25 23:06:52
ocaml-cmdliner-1.1.1-r3.apk
468.55KB
2024-10-25 23:06:52
ocaml-cmdliner-dev-1.1.1-r3.apk
241.25KB
2024-10-25 23:06:52
ocaml-cmdliner-doc-1.1.1-r3.apk
19.74KB
2024-10-25 23:06:52
ocaml-cohttp-5.3.1-r0.apk
677.47KB
2024-10-25 23:06:52
ocaml-cohttp-dev-5.3.1-r0.apk
1.29MB
2024-10-25 23:06:52
ocaml-cohttp-tools-5.3.1-r0.apk
7.67MB
2024-10-25 23:06:52
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
76.83KB
2024-10-25 23:06:52
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
103.52KB
2024-10-25 23:06:52
ocaml-conduit-6.1.0-r0.apk
290.75KB
2024-10-25 23:06:52
ocaml-conduit-dev-6.1.0-r0.apk
548.89KB
2024-10-25 23:06:52
ocaml-containers-3.7-r2.apk
3.54MB
2024-10-25 23:06:52
ocaml-containers-dev-3.7-r2.apk
6.74MB
2024-10-25 23:06:52
ocaml-containers-top-3.7-r2.apk
22.54KB
2024-10-25 23:06:52
ocaml-cstruct-6.1.0-r3.apk
4.11MB
2024-10-25 23:06:52
ocaml-cstruct-dev-6.1.0-r3.apk
709.29KB
2024-10-25 23:06:52
ocaml-ctypes-0.20.1-r2.apk
878.44KB
2024-10-25 23:06:52
ocaml-ctypes-dev-0.20.1-r2.apk
852.09KB
2024-10-25 23:06:52
ocaml-curses-1.0.10-r2.apk
135.83KB
2024-10-25 23:06:52
ocaml-curses-dev-1.0.10-r2.apk
313.14KB
2024-10-25 23:06:52
ocaml-dns-6.2.2-r3.apk
2.25MB
2024-10-25 23:06:52
ocaml-dns-dev-6.2.2-r3.apk
4.16MB
2024-10-25 23:06:52
ocaml-dns-tools-6.2.2-r3.apk
10.56MB
2024-10-25 23:06:52
ocaml-domain-name-0.4.0-r2.apk
74.21KB
2024-10-25 23:06:52
ocaml-domain-name-dev-0.4.0-r2.apk
141.44KB
2024-10-25 23:06:52
ocaml-down-0.1.0-r3.apk
626.97KB
2024-10-25 23:06:52
ocaml-down-dev-0.1.0-r3.apk
333.44KB
2024-10-25 23:06:52
ocaml-duration-0.2.0-r2.apk
26.90KB
2024-10-25 23:06:52
ocaml-duration-dev-0.2.0-r2.apk
44.59KB
2024-10-25 23:06:52
ocaml-easy-format-1.3.4-r1.apk
59.37KB
2024-10-25 23:06:52
ocaml-easy-format-dev-1.3.4-r1.apk
111.48KB
2024-10-25 23:06:52
ocaml-eqaf-0.8-r2.apk
68.80KB
2024-10-25 23:06:52
ocaml-eqaf-dev-0.8-r2.apk
119.94KB
2024-10-25 23:06:52
ocaml-erm_xml-0_git20211229-r2.apk
581.56KB
2024-10-25 23:06:52
ocaml-erm_xml-dev-0_git20211229-r2.apk
777.62KB
2024-10-25 23:06:52
ocaml-erm_xmpp-0_git20220404-r2.apk
1.31MB
2024-10-25 23:06:52
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
1.66MB
2024-10-25 23:06:52
ocaml-ethernet-3.0.0-r3.apk
42.57KB
2024-10-25 23:06:52
ocaml-ethernet-dev-3.0.0-r3.apk
82.73KB
2024-10-25 23:06:52
ocaml-extlib-1.7.9-r2.apk
636.97KB
2024-10-25 23:06:52
ocaml-extlib-dev-1.7.9-r2.apk
1.29MB
2024-10-25 23:06:52
ocaml-extlib-doc-1.7.9-r2.apk
10.60KB
2024-10-25 23:06:52
ocaml-ezxmlm-1.1.0-r0.apk
31.88KB
2024-10-25 23:06:52
ocaml-ezxmlm-dev-1.1.0-r0.apk
52.09KB
2024-10-25 23:06:52
ocaml-fileutils-0.6.4-r2.apk
313.74KB
2024-10-25 23:06:52
ocaml-fileutils-dev-0.6.4-r2.apk
598.02KB
2024-10-25 23:06:52
ocaml-fileutils-doc-0.6.4-r2.apk
15.78KB
2024-10-25 23:06:52
ocaml-fix-20220121-r2.apk
167.45KB
2024-10-25 23:06:52
ocaml-fix-dev-20220121-r2.apk
429.03KB
2024-10-25 23:06:52
ocaml-fmt-0.9.0-r2.apk
190.99KB
2024-10-25 23:06:52
ocaml-fmt-dev-0.9.0-r2.apk
126.38KB
2024-10-25 23:06:52
ocaml-fpath-0.7.3-r2.apk
138.64KB
2024-10-25 23:06:52
ocaml-fpath-dev-0.7.3-r2.apk
103.21KB
2024-10-25 23:06:52
ocaml-gen-1.1-r1.apk
323.30KB
2024-10-25 23:06:52
ocaml-gen-dev-1.1-r1.apk
612.73KB
2024-10-25 23:06:52
ocaml-gettext-0.4.2-r3.apk
3.41MB
2024-10-25 23:06:52
ocaml-gettext-dev-0.4.2-r3.apk
724.75KB
2024-10-25 23:06:52
ocaml-gettext-doc-0.4.2-r3.apk
19.16KB
2024-10-25 23:06:52
ocaml-gitlab-0.1.8-r0.apk
3.01MB
2024-10-25 23:06:52
ocaml-gitlab-dev-0.1.8-r0.apk
11.57MB
2024-10-25 23:06:53
ocaml-gmap-0.3.0-r2.apk
36.47KB
2024-10-25 23:06:53
ocaml-gmap-dev-0.3.0-r2.apk
74.71KB
2024-10-25 23:06:53
ocaml-happy-eyeballs-0.3.0-r2.apk
84.40KB
2024-10-25 23:06:53
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
148.92KB
2024-10-25 23:06:53
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
38.99KB
2024-10-25 23:06:53
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
59.12KB
2024-10-25 23:06:53
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
2.44MB
2024-10-25 23:06:53
ocaml-hex-1.5.0-r2.apk
29.38KB
2024-10-25 23:06:53
ocaml-hex-dev-1.5.0-r2.apk
53.49KB
2024-10-25 23:06:53
ocaml-higlo-0.9-r0.apk
4.92MB
2024-10-25 23:06:53
ocaml-higlo-dev-0.9-r0.apk
677.10KB
2024-10-25 23:06:53
ocaml-hkdf-1.0.4-r2.apk
13.96KB
2024-10-25 23:06:53
ocaml-hkdf-dev-1.0.4-r2.apk
18.27KB
2024-10-25 23:06:53
ocaml-integers-0.7.0-r2.apk
128.41KB
2024-10-25 23:06:53
ocaml-integers-dev-0.7.0-r2.apk
273.50KB
2024-10-25 23:06:53
ocaml-ipaddr-5.3.1-r2.apk
314.38KB
2024-10-25 23:06:53
ocaml-ipaddr-dev-5.3.1-r2.apk
562.15KB
2024-10-25 23:06:53
ocaml-iri-1.0.0-r0.apk
1.84MB
2024-10-25 23:06:53
ocaml-iri-dev-1.0.0-r0.apk
770.41KB
2024-10-25 23:06:53
ocaml-iso8601-0.2.6-r0.apk
52.08KB
2024-10-25 23:06:53
ocaml-iso8601-dev-0.2.6-r0.apk
87.45KB
2024-10-25 23:06:53
ocaml-jsonm-1.0.2-r0.apk
118.75KB
2024-10-25 23:06:53
ocaml-jsonm-dev-1.0.2-r0.apk
67.21KB
2024-10-25 23:06:53
ocaml-jsonm-tools-1.0.2-r0.apk
445.12KB
2024-10-25 23:06:53
ocaml-lablgtk3-3.1.2-r3.apk
7.87MB
2024-10-25 23:06:53
ocaml-lablgtk3-dev-3.1.2-r3.apk
13.80MB
2024-10-25 23:06:53
ocaml-lablgtk3-extras-3.0.1-r2.apk
881.39KB
2024-10-25 23:06:53
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
1.53MB
2024-10-25 23:06:53
ocaml-labltk-8.06.12-r2.apk
2.87MB
2024-10-25 23:06:53
ocaml-labltk-dev-8.06.12-r2.apk
1.48MB
2024-10-25 23:06:53
ocaml-lambda-term-3.2.0-r4.apk
3.44MB
2024-10-25 23:06:53
ocaml-lambda-term-dev-3.2.0-r4.apk
3.84MB
2024-10-25 23:06:53
ocaml-lambda-term-doc-3.2.0-r4.apk
8.78KB
2024-10-25 23:06:53
ocaml-lambdasoup-0.7.3-r2.apk
189.90KB
2024-10-25 23:06:53
ocaml-lambdasoup-dev-0.7.3-r2.apk
348.49KB
2024-10-25 23:06:53
ocaml-libvirt-0.6.1.7-r0.apk
175.00KB
2024-10-25 23:06:53
ocaml-libvirt-dev-0.6.1.7-r0.apk
98.61KB
2024-10-25 23:06:53
ocaml-libvirt-doc-0.6.1.7-r0.apk
13.71KB
2024-10-25 23:06:53
ocaml-logs-0.7.0-r3.apk
114.17KB
2024-10-25 23:06:53
ocaml-logs-dev-0.7.0-r3.apk
85.09KB
2024-10-25 23:06:53
ocaml-lru-0.3.0-r2.apk
75.77KB
2024-10-25 23:06:53
ocaml-lru-dev-0.3.0-r2.apk
162.43KB
2024-10-25 23:06:53
ocaml-lwd-0.3-r0.apk
490.32KB
2024-10-25 23:06:53
ocaml-lwd-dev-0.3-r0.apk
1.00MB
2024-10-25 23:06:53
ocaml-lwt-5.7.0-r0.apk
1.21MB
2024-10-25 23:06:53
ocaml-lwt-dev-5.7.0-r0.apk
3.12MB
2024-10-25 23:06:54
ocaml-lwt-dllist-1.0.1-r3.apk
22.56KB
2024-10-25 23:06:54
ocaml-lwt-dllist-dev-1.0.1-r3.apk
39.67KB
2024-10-25 23:06:54
ocaml-lwt_log-1.1.1-r5.apk
132.38KB
2024-10-25 23:06:54
ocaml-lwt_log-dev-1.1.1-r5.apk
255.70KB
2024-10-25 23:06:54
ocaml-lwt_ppx-5.7.0-r0.apk
3.78MB
2024-10-25 23:06:54
ocaml-lwt_react-5.7.0-r0.apk
119.69KB
2024-10-25 23:06:54
ocaml-lwt_ssl-1.2.0-r0.apk
29.28KB
2024-10-25 23:06:54
ocaml-lwt_ssl-dev-1.2.0-r0.apk
46.82KB
2024-10-25 23:06:54
ocaml-magic-mime-1.3.1-r0.apk
222.84KB
2024-10-25 23:06:54
ocaml-magic-mime-dev-1.3.1-r0.apk
320.66KB
2024-10-25 23:06:54
ocaml-markup-1.0.3-r3.apk
1.00MB
2024-10-25 23:06:54
ocaml-markup-dev-1.0.3-r3.apk
2.09MB
2024-10-25 23:06:54
ocaml-menhir-20220210-r3.apk
1.28MB
2024-12-08 18:19:58
ocaml-menhir-dev-20220210-r3.apk
898.18KB
2024-12-08 18:19:58
ocaml-menhir-doc-20220210-r3.apk
609.85KB
2024-12-08 18:19:58
ocaml-merlin-extend-0.6.1-r2.apk
48.98KB
2024-10-25 23:06:54
ocaml-merlin-extend-dev-0.6.1-r2.apk
91.00KB
2024-10-25 23:06:54
ocaml-metrics-0.4.0-r3.apk
245.02KB
2024-10-25 23:06:54
ocaml-metrics-dev-0.4.0-r3.apk
449.95KB
2024-10-25 23:06:54
ocaml-mew-0.1.0-r3.apk
68.75KB
2024-10-25 23:06:54
ocaml-mew-dev-0.1.0-r3.apk
95.85KB
2024-10-25 23:06:54
ocaml-mew_vi-0.5.0-r3.apk
185.24KB
2024-10-25 23:06:54
ocaml-mew_vi-dev-0.5.0-r3.apk
296.43KB
2024-10-25 23:06:54
ocaml-mikmatch-1.0.9-r2.apk
145.44KB
2024-10-25 23:06:54
ocaml-mirage-clock-4.2.0-r2.apk
27.81KB
2024-10-25 23:06:54
ocaml-mirage-clock-dev-4.2.0-r2.apk
34.00KB
2024-10-25 23:06:54
ocaml-mirage-crypto-0.10.6-r3.apk
1.09MB
2024-10-25 23:06:54
ocaml-mirage-crypto-dev-0.10.6-r3.apk
5.47MB
2024-10-25 23:06:54
ocaml-mirage-flow-3.0.0-r3.apk
110.17KB
2024-10-25 23:06:54
ocaml-mirage-flow-dev-3.0.0-r3.apk
193.29KB
2024-10-25 23:06:54
ocaml-mirage-kv-4.0.1-r3.apk
20.13KB
2024-10-25 23:06:54
ocaml-mirage-kv-dev-4.0.1-r3.apk
42.93KB
2024-10-25 23:06:54
ocaml-mirage-net-4.0.0-r3.apk
12.30KB
2024-10-25 23:06:54
ocaml-mirage-net-dev-4.0.0-r3.apk
19.54KB
2024-10-25 23:06:54
ocaml-mirage-profile-0.9.1-r3.apk
24.07KB
2024-10-25 23:06:54
ocaml-mirage-profile-dev-0.9.1-r3.apk
30.89KB
2024-10-25 23:06:54
ocaml-mirage-random-3.0.0-r3.apk
7.02KB
2024-10-25 23:06:54
ocaml-mirage-random-dev-3.0.0-r3.apk
5.39KB
2024-10-25 23:06:54
ocaml-mirage-time-3.0.0-r4.apk
9.97KB
2024-10-25 23:06:54
ocaml-mirage-time-dev-3.0.0-r4.apk
8.18KB
2024-10-25 23:06:54
ocaml-mmap-1.2.0-r3.apk
7.18KB
2024-10-25 23:06:54
ocaml-mmap-dev-1.2.0-r3.apk
6.50KB
2024-10-25 23:06:54
ocaml-mqtt-0.2.2-r0.apk
156.19KB
2024-10-25 23:06:54
ocaml-mqtt-dev-0.2.2-r0.apk
258.50KB
2024-10-25 23:06:54
ocaml-mtime-1.4.0-r2.apk
48.88KB
2024-10-25 23:06:54
ocaml-mtime-dev-1.4.0-r2.apk
48.35KB
2024-10-25 23:06:54
ocaml-notty-0.2.3-r0.apk
308.15KB
2024-10-25 23:06:54
ocaml-notty-dev-0.2.3-r0.apk
607.93KB
2024-10-25 23:06:54
ocaml-num-1.4-r3.apk
256.46KB
2024-10-25 23:06:54
ocaml-num-dev-1.4-r3.apk
94.88KB
2024-10-25 23:06:54
ocaml-obuild-0.1.11-r0.apk
1.07MB
2024-10-25 23:06:54
ocaml-ocf-0.8.0-r3.apk
7.83MB
2024-10-25 23:06:54
ocaml-ocf-dev-0.8.0-r3.apk
323.86KB
2024-10-25 23:06:54
ocaml-ocp-indent-1.8.2-r2.apk
537.80KB
2024-10-25 23:06:54
ocaml-ocp-indent-dev-1.8.2-r2.apk
638.35KB
2024-10-25 23:06:54
ocaml-ocp-index-1.3.6-r0.apk
460.98KB
2024-10-25 23:06:54
ocaml-ocp-index-dev-1.3.6-r0.apk
529.28KB
2024-10-25 23:06:54
ocaml-ocplib-endian-1.2-r3.apk
157.42KB
2024-10-25 23:06:54
ocaml-ocplib-endian-dev-1.2-r3.apk
260.73KB
2024-10-25 23:06:54
ocaml-omake-0.10.6-r0.apk
1.62MB
2024-10-25 23:06:54
ocaml-omake-doc-0.10.6-r0.apk
8.02KB
2024-10-25 23:06:54
ocaml-omod-0.0.3-r3.apk
330.12KB
2024-10-25 23:06:54
ocaml-omod-bin-0.0.3-r3.apk
2.69MB
2024-10-25 23:06:54
ocaml-omod-dev-0.0.3-r3.apk
214.14KB
2024-10-25 23:06:54
ocaml-otoml-1.0.5-r0.apk
443.73KB
2024-10-25 23:06:54
ocaml-otoml-dev-1.0.5-r0.apk
780.45KB
2024-10-25 23:06:54
ocaml-otr-0.3.10-r2.apk
283.59KB
2024-10-25 23:06:54
ocaml-otr-dev-0.3.10-r2.apk
526.96KB
2024-10-25 23:06:54
ocaml-ounit-2.2.7-r3.apk
536.58KB
2024-10-25 23:06:54
ocaml-ounit-dev-2.2.7-r3.apk
1.04MB
2024-10-25 23:06:54
ocaml-parsexp-0.16.0-r0.apk
398.29KB
2024-10-25 23:06:54
ocaml-parsexp-dev-0.16.0-r0.apk
937.90KB
2024-10-25 23:06:54
ocaml-pbkdf-1.2.0-r2.apk
17.44KB
2024-10-25 23:06:54
ocaml-pbkdf-dev-1.2.0-r2.apk
25.23KB
2024-10-25 23:06:54
ocaml-pcre-7.5.0-r4.apk
147.88KB
2024-10-25 23:06:54
ocaml-pcre-dev-7.5.0-r4.apk
302.55KB
2024-10-25 23:06:54
ocaml-ppx_blob-0.8.0-r0.apk
3.69MB
2024-10-25 23:06:54
ocaml-ppx_blob-dev-0.8.0-r0.apk
18.75KB
2024-10-25 23:06:54
ocaml-ppx_derivers-1.2.1-r2.apk
9.51KB
2024-10-25 23:06:54
ocaml-ppx_derivers-dev-1.2.1-r2.apk
11.16KB
2024-10-25 23:06:54
ocaml-ppx_deriving-5.3.0-r0.apk
4.95MB
2024-10-25 23:06:54
ocaml-ppx_deriving-dev-5.3.0-r0.apk
1.32MB
2024-10-25 23:06:54
ocaml-ppx_sexp_conv-0.16.0-r0.apk
579.70KB
2024-10-25 23:06:54
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
1.15MB
2024-10-25 23:06:54
ocaml-ppxlib-0.32.0-r0.apk
12.76MB
2024-10-25 23:06:55
ocaml-ppxlib-dev-0.32.0-r0.apk
16.12MB
2024-10-25 23:06:55
ocaml-psq-0.2.0-r2.apk
96.96KB
2024-10-25 23:06:55
ocaml-psq-dev-0.2.0-r2.apk
173.67KB
2024-10-25 23:06:55
ocaml-ptime-1.0.0-r2.apk
112.91KB
2024-10-25 23:06:55
ocaml-ptime-dev-1.0.0-r2.apk
75.94KB
2024-10-25 23:06:55
ocaml-ptmap-2.0.5-r3.apk
53.72KB
2024-10-25 23:06:55
ocaml-ptmap-dev-2.0.5-r3.apk
94.03KB
2024-10-25 23:06:55
ocaml-qcheck-0.18.1-r3.apk
668.14KB
2024-10-25 23:06:55
ocaml-qcheck-dev-0.18.1-r3.apk
1.35MB
2024-10-25 23:06:55
ocaml-qtest-2.11.2-r3.apk
325.73KB
2024-10-25 23:06:55
ocaml-qtest-dev-2.11.2-r3.apk
3.61KB
2024-10-25 23:06:55
ocaml-randomconv-0.1.3-r2.apk
13.59KB
2024-10-25 23:06:55
ocaml-randomconv-dev-0.1.3-r2.apk
17.72KB
2024-10-25 23:06:55
ocaml-re-1.11.0-r1.apk
523.71KB
2024-10-25 23:06:55
ocaml-re-dev-1.11.0-r1.apk
974.67KB
2024-10-25 23:06:55
ocaml-react-1.2.2-r2.apk
283.02KB
2024-10-25 23:06:55
ocaml-react-dev-1.2.2-r2.apk
198.05KB
2024-10-25 23:06:55
ocaml-reason-3.8.2-r1.apk
15.31MB
2024-10-25 23:06:55
ocaml-reason-dev-3.8.2-r1.apk
28.77MB
2024-10-25 23:06:56
ocaml-result-1.5-r2.apk
9.05KB
2024-10-25 23:06:56
ocaml-result-dev-1.5-r2.apk
7.94KB
2024-10-25 23:06:56
ocaml-rresult-0.7.0-r2.apk
38.10KB
2024-10-25 23:06:56
ocaml-rresult-dev-0.7.0-r2.apk
34.71KB
2024-10-25 23:06:56
ocaml-sedlex-3.2-r0.apk
4.12MB
2024-10-25 23:06:56
ocaml-sedlex-dev-3.2-r0.apk
1.50MB
2024-10-25 23:06:56
ocaml-seq-0.3.1-r2.apk
13.92KB
2024-10-25 23:06:56
ocaml-seq-dev-0.3.1-r2.apk
19.88KB
2024-10-25 23:06:56
ocaml-sexplib-0.16.0-r0.apk
486.45KB
2024-10-25 23:06:56
ocaml-sexplib-dev-0.16.0-r0.apk
821.71KB
2024-10-25 23:06:56
ocaml-sexplib0-0.16.0-r0.apk
170.70KB
2024-10-25 23:06:56
ocaml-sexplib0-dev-0.16.0-r0.apk
334.65KB
2024-10-25 23:06:56
ocaml-sha-1.15.4-r0.apk
81.81KB
2024-10-25 23:06:56
ocaml-sha-dev-1.15.4-r0.apk
239.94KB
2024-10-25 23:06:56
ocaml-ssl-0.7.0-r0.apk
94.25KB
2024-10-25 23:06:56
ocaml-ssl-dev-0.7.0-r0.apk
208.70KB
2024-10-25 23:06:56
ocaml-stdlib-shims-0.3.0-r2.apk
4.22KB
2024-10-25 23:06:56
ocaml-stk-0.1.0-r0.apk
6.09MB
2024-10-25 23:06:56
ocaml-stk-dev-0.1.0-r0.apk
9.89MB
2024-10-25 23:06:56
ocaml-stringext-1.6.0-r2.apk
42.82KB
2024-10-25 23:06:56
ocaml-stringext-dev-1.6.0-r2.apk
77.76KB
2024-10-25 23:06:56
ocaml-tcpip-7.1.2-r3.apk
1.21MB
2024-10-25 23:06:56
ocaml-tcpip-dev-7.1.2-r3.apk
2.41MB
2024-10-25 23:06:56
ocaml-tls-0.15.3-r4.apk
1.24MB
2024-10-25 23:06:56
ocaml-tls-dev-0.15.3-r4.apk
2.36MB
2024-10-25 23:06:56
ocaml-tophide-1.0.4-r2.apk
5.63KB
2024-10-25 23:06:56
ocaml-topkg-1.0.5-r2.apk
613.25KB
2024-10-25 23:06:56
ocaml-topkg-dev-1.0.5-r2.apk
406.66KB
2024-10-25 23:06:56
ocaml-trie-1.0.0-r2.apk
15.76KB
2024-10-25 23:06:56
ocaml-trie-dev-1.0.0-r2.apk
25.28KB
2024-10-25 23:06:56
ocaml-tsdl-1.0.0-r0.apk
919.43KB
2024-10-25 23:06:56
ocaml-tsdl-dev-1.0.0-r0.apk
575.78KB
2024-10-25 23:06:56
ocaml-tsdl-image-0.6-r0.apk
47.46KB
2024-10-25 23:06:56
ocaml-tsdl-image-dev-0.6-r0.apk
77.63KB
2024-10-25 23:06:56
ocaml-tsdl-ttf-0.6-r0.apk
62.25KB
2024-10-25 23:06:56
ocaml-tsdl-ttf-dev-0.6-r0.apk
109.09KB
2024-10-25 23:06:56
ocaml-uri-4.2.0-r2.apk
1.40MB
2024-10-25 23:06:56
ocaml-uri-dev-4.2.0-r2.apk
4.27MB
2024-10-25 23:06:56
ocaml-utop-2.9.1-r4.apk
349.20KB
2024-10-25 23:06:56
ocaml-utop-dev-2.9.1-r4.apk
762.76KB
2024-10-25 23:06:56
ocaml-uucd-14.0.0-r2.apk
278.79KB
2024-10-25 23:06:56
ocaml-uucd-dev-14.0.0-r2.apk
172.41KB
2024-10-25 23:06:56
ocaml-uucp-14.0.0-r2.apk
5.10MB
2024-10-25 23:06:57
ocaml-uucp-dev-14.0.0-r2.apk
1.10MB
2024-10-25 23:06:57
ocaml-uuidm-0.9.8-r2.apk
45.33KB
2024-10-25 23:06:57
ocaml-uuidm-dev-0.9.8-r2.apk
24.81KB
2024-10-25 23:06:57
ocaml-uuidm-tools-0.9.8-r2.apk
440.82KB
2024-10-25 23:06:57
ocaml-uunf-14.0.0-r2.apk
1.15MB
2024-10-25 23:06:57
ocaml-uunf-dev-14.0.0-r2.apk
222.46KB
2024-10-25 23:06:57
ocaml-uuseg-14.0.0-r2.apk
92.16KB
2024-10-25 23:06:57
ocaml-uuseg-dev-14.0.0-r2.apk
46.01KB
2024-10-25 23:06:57
ocaml-uuseg-tools-14.0.0-r2.apk
1.29MB
2024-10-25 23:06:57
ocaml-uutf-1.0.3-r2.apk
619.90KB
2024-10-25 23:06:57
ocaml-uutf-dev-1.0.3-r2.apk
55.24KB
2024-10-25 23:06:57
ocaml-x509-0.16.0-r2.apk
835.66KB
2024-10-25 23:06:57
ocaml-x509-dev-0.16.0-r2.apk
1.53MB
2024-10-25 23:06:57
ocaml-xml-light-2.5-r0.apk
216.70KB
2024-10-25 23:06:57
ocaml-xml-light-dev-2.5-r0.apk
385.55KB
2024-10-25 23:06:57
ocaml-xmlm-1.4.0-r2.apk
555.73KB
2024-10-25 23:06:57
ocaml-xmlm-dev-1.4.0-r2.apk
99.69KB
2024-10-25 23:06:57
ocaml-xtmpl-0.19.0-r0.apk
8.86MB
2024-10-25 23:06:57
ocaml-xtmpl-dev-0.19.0-r0.apk
776.77KB
2024-10-25 23:06:57
ocaml-yojson-2.1.2-r0.apk
1.03MB
2024-10-25 23:06:57
ocaml-yojson-dev-2.1.2-r0.apk
1.67MB
2024-10-25 23:06:57
ocaml-zed-3.1.0-r3.apk
518.45KB
2024-10-25 23:06:57
ocaml-zed-dev-3.1.0-r3.apk
1.13MB
2024-10-25 23:06:57
ocamlnet-4.1.9-r2.apk
16.53MB
2024-10-25 23:06:57
ocamlnet-dev-4.1.9-r2.apk
5.89MB
2024-10-25 23:06:57
ocamlnet-tcl-4.1.9-r2.apk
49.57KB
2024-10-25 23:06:57
ocfs2-tools-1.8.7-r4.apk
1.14MB
2024-10-25 23:06:57
ocfs2-tools-dev-1.8.7-r4.apk
44.95KB
2024-10-25 23:06:57
ocfs2-tools-doc-1.8.7-r4.apk
69.35KB
2024-10-25 23:06:57
ocp-indent-1.8.2-r2.apk
1.10MB
2024-10-25 23:06:57
ocp-indent-doc-1.8.2-r2.apk
16.96KB
2024-10-25 23:06:57
ocp-indent-emacs-1.8.2-r2.apk
3.71KB
2024-10-25 23:06:57
ocp-indent-vim-1.8.2-r2.apk
2.39KB
2024-10-25 23:06:57
ocp-index-1.3.6-r0.apk
5.47MB
2024-10-25 23:06:57
ocp-index-doc-1.3.6-r0.apk
30.64KB
2024-10-25 23:06:57
ocp-index-emacs-1.3.6-r0.apk
6.27KB
2024-10-25 23:06:57
ocp-index-vim-1.3.6-r0.apk
3.03KB
2024-10-25 23:06:57
octoprint-1.10.3-r0.apk
3.03MB
2024-12-26 00:04:03
octoprint-creality2xfix-0.0.4-r2.apk
4.71KB
2024-10-25 23:06:57
octoprint-creality2xfix-pyc-0.0.4-r2.apk
3.34KB
2024-10-25 23:06:57
octoprint-filecheck-2024.3.27-r1.apk
27.92KB
2024-10-25 23:06:57
octoprint-filecheck-pyc-2024.3.27-r1.apk
11.30KB
2024-10-25 23:06:57
octoprint-firmwarecheck-2021.10.11-r2.apk
29.31KB
2024-10-25 23:06:57
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
17.60KB
2024-10-25 23:06:57
octoprint-openrc-1.10.3-r0.apk
1.71KB
2024-12-26 00:04:03
octoprint-pisupport-2023.10.10-r1.apk
30.84KB
2024-10-25 23:06:57
octoprint-pisupport-pyc-2023.10.10-r1.apk
12.81KB
2024-10-25 23:06:57
octoprint-pyc-1.10.3-r0.apk
1.24MB
2024-12-26 00:04:03
ode-0.16.5-r0.apk
556.51KB
2024-10-25 23:06:57
oil-0.21.0-r0.apk
1.44MB
2024-10-25 23:06:57
oil-doc-0.21.0-r0.apk
6.98KB
2024-10-25 23:06:57
ol-2.4-r0.apk
0.96MB
2024-10-25 23:06:57
ol-doc-2.4-r0.apk
2.57KB
2024-10-25 23:06:57
olab-0.1.8-r0.apk
3.43MB
2024-10-25 23:06:58
olsrd-0.9.8-r3.apk
167.95KB
2024-10-25 23:06:58
olsrd-doc-0.9.8-r3.apk
25.07KB
2024-10-25 23:06:58
olsrd-openrc-0.9.8-r3.apk
1.87KB
2024-10-25 23:06:58
olsrd-plugins-0.9.8-r3.apk
182.92KB
2024-10-25 23:06:58
onnxruntime-1.19.2-r3.apk
4.88MB
2024-12-25 21:50:43
onnxruntime-dev-1.19.2-r3.apk
95.15KB
2024-12-25 21:50:43
opcr-policy-0.2.21-r0.apk
8.04MB
2024-10-25 23:06:58
openapi-validator-1.19.2-r0.apk
9.94MB
2024-10-25 23:06:58
opendht-3.1.7-r5.apk
174.69KB
2024-12-14 20:56:25
opendht-dev-3.1.7-r5.apk
70.64KB
2024-12-14 20:56:25
opendht-doc-3.1.7-r5.apk
3.02KB
2024-12-14 20:56:25
opendht-libs-3.1.7-r5.apk
557.47KB
2024-12-14 20:56:25
openfortivpn-1.22.1-r0.apk
40.77KB
2024-12-11 23:31:54
openfortivpn-doc-1.22.1-r0.apk
6.30KB
2024-12-11 23:31:54
openfpgaloader-0.11.0-r0.apk
1.98MB
2024-10-25 23:06:58
openmg-0.0.9-r0.apk
101.33KB
2024-10-25 23:06:58
openocd-esp32-0_git20230921-r5.apk
1.81MB
2024-10-25 23:06:58
openocd-esp32-dev-0_git20230921-r5.apk
3.40KB
2024-10-25 23:06:58
openocd-esp32-doc-0_git20230921-r5.apk
3.29KB
2024-10-25 23:06:58
openocd-esp32-udev-rules-0_git20230921-r5.apk
3.42KB
2024-10-25 23:06:58
openocd-git-0_git20240113-r1.apk
1.71MB
2024-10-25 23:06:58
openocd-git-cmd-openocd-0_git20240113-r1.apk
1.50KB
2024-10-25 23:06:58
openocd-git-dbg-0_git20240113-r1.apk
4.04MB
2024-10-25 23:06:58
openocd-git-dev-0_git20240113-r1.apk
3.42KB
2024-10-25 23:06:58
openocd-git-doc-0_git20240113-r1.apk
3.29KB
2024-10-25 23:06:58
openocd-git-udev-rules-0_git20240113-r1.apk
3.42KB
2024-10-25 23:06:58
openocd-riscv-0_git20230104-r2.apk
1.58MB
2024-10-25 23:06:58
openocd-riscv-dev-0_git20230104-r2.apk
3.72KB
2024-10-25 23:06:58
openocd-riscv-doc-0_git20230104-r2.apk
3.29KB
2024-10-25 23:06:58
openocd-riscv-udev-rules-0_git20230104-r2.apk
3.27KB
2024-10-25 23:06:58
openscap-daemon-0.1.10-r9.apk
60.16KB
2024-10-25 23:06:58
openscap-daemon-doc-0.1.10-r9.apk
17.54KB
2024-10-25 23:06:58
openscap-daemon-pyc-0.1.10-r9.apk
101.88KB
2024-10-25 23:06:58
openslide-3.4.1-r3.apk
84.34KB
2024-10-25 23:06:58
openslide-dev-3.4.1-r3.apk
6.85KB
2024-10-25 23:06:58
openslide-doc-3.4.1-r3.apk
4.92KB
2024-10-25 23:06:58
openslide-tools-3.4.1-r3.apk
10.15KB
2024-10-25 23:06:58
opensmtpd-filter-dkimsign-0.6-r1.apk
13.38KB
2024-10-25 23:06:58
opensmtpd-filter-dkimsign-doc-0.6-r1.apk
3.57KB
2024-10-25 23:06:58
openswitcher-0.5.0-r4.apk
148.40KB
2024-10-25 23:06:58
openswitcher-proxy-0.5.0-r4.apk
9.38KB
2024-10-25 23:06:58
openswitcher-proxy-openrc-0.5.0-r4.apk
2.04KB
2024-10-25 23:06:58
opentelemetry-cpp-1.11.0-r5.apk
511.22KB
2024-11-11 19:19:04
opentelemetry-cpp-dev-1.11.0-r5.apk
323.28KB
2024-11-11 19:19:04
opentelemetry-cpp-exporter-otlp-common-1.11.0-r5.apk
30.30KB
2024-11-11 19:19:04
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk
32.72KB
2024-11-11 19:19:04
opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk
63.02KB
2024-11-11 19:19:04
opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk
46.53KB
2024-11-11 19:19:04
openttd-14.1-r0.apk
5.83MB
2024-10-25 23:06:58
openttd-doc-14.1-r0.apk
264.07KB
2024-10-25 23:06:58
openttd-lang-14.1-r0.apk
3.48MB
2024-10-25 23:06:58
openttd-opengfx-7.1-r0.apk
3.35MB
2024-10-25 23:06:58
openttd-openmsx-0.4.2-r0.apk
129.11KB
2024-10-25 23:06:58
openttd-opensfx-1.0.3-r0.apk
10.99MB
2024-10-25 23:06:59
openvpn3-3.8.5-r0.apk
380.92KB
2024-10-25 23:06:59
openvpn3-dev-3.8.5-r0.apk
686.28KB
2024-10-25 23:06:59
openwsman-2.7.2-r5.apk
47.92KB
2024-10-25 23:06:59
openwsman-dev-2.7.2-r5.apk
57.66KB
2024-10-25 23:06:59
openwsman-doc-2.7.2-r5.apk
2.39KB
2024-10-25 23:06:59
openwsman-libs-2.7.2-r5.apk
306.25KB
2024-10-25 23:06:59
opkg-0.7.0-r0.apk
9.97KB
2024-10-25 23:06:59
opkg-dev-0.7.0-r0.apk
105.76KB
2024-10-25 23:06:59
opkg-doc-0.7.0-r0.apk
7.65KB
2024-10-25 23:06:59
opkg-libs-0.7.0-r0.apk
75.72KB
2024-10-25 23:06:59
opkg-utils-0.7.0-r0.apk
25.20KB
2024-10-25 23:06:59
opkg-utils-doc-0.7.0-r0.apk
3.62KB
2024-10-25 23:06:59
opmsg-1.84-r1.apk
256.36KB
2024-10-25 23:06:59
orage-4.18.0-r0.apk
567.05KB
2024-10-25 23:06:59
orage-lang-4.18.0-r0.apk
1.15MB
2024-10-25 23:06:59
osmctools-0.9-r0.apk
134.27KB
2024-10-25 23:06:59
otf-atkinson-hyperlegible-2020.0514-r1.apk
101.62KB
2024-10-25 23:06:59
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
47.69KB
2024-10-25 23:06:59
otpclient-4.0.2-r0.apk
111.58KB
2024-10-25 23:06:59
otpclient-doc-4.0.2-r0.apk
3.64KB
2024-10-25 23:06:59
otrs-6.0.48-r2.apk
28.68MB
2024-10-25 23:06:59
otrs-apache2-6.0.48-r2.apk
4.03KB
2024-10-25 23:06:59
otrs-bash-completion-6.0.48-r2.apk
2.40KB
2024-10-25 23:06:59
otrs-dev-6.0.48-r2.apk
3.87MB
2024-10-25 23:06:59
otrs-doc-6.0.48-r2.apk
795.14KB
2024-10-25 23:06:59
otrs-fastcgi-6.0.48-r2.apk
1.77KB
2024-10-25 23:06:59
otrs-nginx-6.0.48-r2.apk
1.79KB
2024-10-25 23:06:59
otrs-openrc-6.0.48-r2.apk
1.89KB
2024-10-25 23:06:59
otrs-setup-6.0.48-r2.apk
107.34KB
2024-10-25 23:06:59
ouch-0.5.1-r0.apk
1.13MB
2024-10-25 23:06:59
ouch-bash-completion-0.5.1-r0.apk
2.27KB
2024-10-25 23:06:59
ouch-doc-0.5.1-r0.apk
3.64KB
2024-10-25 23:06:59
ouch-fish-completion-0.5.1-r0.apk
2.34KB
2024-10-25 23:06:59
ouch-zsh-completion-0.5.1-r0.apk
2.84KB
2024-10-25 23:06:59
ovn-24.03.1-r0.apk
6.48MB
2024-10-25 23:06:59
ovn-dbg-24.03.1-r0.apk
25.89MB
2024-10-25 23:07:00
ovn-dev-24.03.1-r0.apk
9.85MB
2024-10-25 23:07:00
ovn-doc-24.03.1-r0.apk
511.93KB
2024-10-25 23:07:00
ovn-openrc-24.03.1-r0.apk
4.15KB
2024-10-25 23:07:00
ovos-audio-0.3.1-r0.apk
135.56KB
2024-11-21 15:31:25
ovos-audio-pyc-0.3.1-r0.apk
36.05KB
2024-11-21 15:31:25
ovos-core-0.2.17-r0.apk
369.16KB
2024-11-25 14:41:18
ovos-core-pyc-0.2.17-r0.apk
206.40KB
2024-11-25 14:41:18
ovos-gui-1.1.0-r0.apk
30.70KB
2024-11-25 14:41:18
ovos-gui-pyc-1.1.0-r0.apk
38.38KB
2024-11-25 14:41:18
ovos-messagebus-0.0.8-r0.apk
9.80KB
2024-11-25 14:41:18
ovos-messagebus-pyc-0.0.8-r0.apk
6.64KB
2024-11-25 14:41:18
ovos-phal-0.2.7-r0.apk
10.30KB
2024-11-21 15:31:25
ovos-phal-pyc-0.2.7-r0.apk
7.18KB
2024-11-21 15:31:25
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
45.64KB
2024-10-25 23:07:00
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
3.97KB
2024-10-25 23:07:00
ovpncc-0.1_rc1-r0.apk
11.94KB
2024-10-25 23:07:00
ovpncc-doc-0.1_rc1-r0.apk
6.52KB
2024-10-25 23:07:00
oxygen-icons-6.1.0-r0.apk
31.60MB
2024-10-25 23:07:01
p0f-3.09b-r3.apk
88.06KB
2024-10-25 23:07:01
p0f-doc-3.09b-r3.apk
25.26KB
2024-10-25 23:07:01
p910nd-0.97-r2.apk
7.16KB
2024-10-25 23:07:01
p910nd-doc-0.97-r2.apk
2.98KB
2024-10-25 23:07:01
p910nd-openrc-0.97-r2.apk
1.84KB
2024-10-25 23:07:01
pacparser-1.4.5-r1.apk
728.46KB
2024-10-25 23:07:01
pacparser-dev-1.4.5-r1.apk
3.60KB
2024-10-25 23:07:01
pacparser-doc-1.4.5-r1.apk
17.75KB
2024-10-25 23:07:01
pam-krb5-4.11-r1.apk
21.33KB
2024-10-25 23:07:01
pam-krb5-doc-4.11-r1.apk
23.62KB
2024-10-25 23:07:01
pam_sqlite3-1.0.2-r2.apk
8.30KB
2024-10-25 23:07:01
pamtester-0.1.2-r4.apk
8.37KB
2024-10-25 23:07:01
pamtester-doc-0.1.2-r4.apk
2.89KB
2024-10-25 23:07:01
pantalaimon-0.10.5-r4.apk
44.76KB
2024-10-25 23:07:01
pantalaimon-doc-0.10.5-r4.apk
6.38KB
2024-10-25 23:07:01
pantalaimon-pyc-0.10.5-r4.apk
82.92KB
2024-10-25 23:07:01
pantalaimon-ui-0.10.5-r4.apk
1.72KB
2024-10-25 23:07:01
paperkey-1.6-r2.apk
16.38KB
2024-10-25 23:07:01
paperkey-doc-1.6-r2.apk
4.49KB
2024-10-25 23:07:01
paprefs-1.2-r2.apk
28.69KB
2024-11-23 00:07:44
paprefs-lang-1.2-r2.apk
37.89KB
2024-11-23 00:07:44
par-1.53.0-r1.apk
13.93KB
2024-10-25 23:07:01
par-doc-1.53.0-r1.apk
30.33KB
2024-10-25 23:07:01
par2cmdline-turbo-1.2.0-r0.apk
181.53KB
2024-12-10 18:35:29
par2cmdline-turbo-doc-1.2.0-r0.apk
5.35KB
2024-12-10 18:35:29
parcellite-1.2.5-r0.apk
224.34KB
2024-10-25 23:07:01
parcellite-doc-1.2.5-r0.apk
25.23KB
2024-10-25 23:07:01
parcellite-lang-1.2.5-r0.apk
48.77KB
2024-10-25 23:07:01
pari-2.17.1-r0.apk
584.55KB
2024-12-24 19:12:13
pari-dev-2.17.1-r0.apk
105.60KB
2024-12-24 19:12:13
pari-doc-2.17.1-r0.apk
923.77KB
2024-12-24 19:12:13
pari-libs-2.17.1-r0.apk
4.01MB
2024-12-24 19:12:13
parse-changelog-0.6.8-r0.apk
547.81KB
2024-10-25 23:07:01
pash-2.3.0-r2.apk
4.29KB
2024-10-25 23:07:01
pass2csv-1.1.1-r1.apk
8.37KB
2024-10-25 23:07:01
pass2csv-pyc-1.1.1-r1.apk
7.47KB
2024-10-25 23:07:01
passage-1.7.4_alpha2-r0.apk
8.06KB
2024-12-24 13:03:37
passage-bash-completion-1.7.4_alpha2-r0.apk
2.96KB
2024-12-24 13:03:37
passage-fish-completion-1.7.4_alpha2-r0.apk
2.71KB
2024-12-24 13:03:37
passage-zsh-completion-1.7.4_alpha2-r0.apk
2.96KB
2024-12-24 13:03:37
pastel-0.10.0-r0.apk
435.01KB
2024-10-25 23:07:01
pastel-bash-completion-0.10.0-r0.apk
3.03KB
2024-10-25 23:07:01
pastel-fish-completion-0.10.0-r0.apk
3.23KB
2024-10-25 23:07:01
pastel-zsh-completion-0.10.0-r0.apk
4.87KB
2024-10-25 23:07:01
pasystray-0.8.2-r0.apk
44.24KB
2024-10-25 23:07:01
pasystray-doc-0.8.2-r0.apk
3.33KB
2024-10-25 23:07:01
pcl-1.14.0-r2.apk
2.63MB
2024-10-25 23:07:01
pcl-dev-1.14.0-r2.apk
1.54MB
2024-10-25 23:07:01
pcl-libs-1.14.0-r2.apk
16.42MB
2024-10-25 23:07:01
pcsc-perl-1.4.16-r1.apk
25.79KB
2024-10-25 23:07:01
pcsc-perl-doc-1.4.16-r1.apk
9.95KB
2024-10-25 23:07:01
pcsc-tools-1.7.2-r0.apk
188.55KB
2024-10-25 23:07:01
pcsc-tools-doc-1.7.2-r0.apk
6.02KB
2024-10-25 23:07:01
pdal-python-plugins-1.2.1-r3.apk
206.13KB
2024-10-25 23:07:01
pdf2svg-0.2.3-r1.apk
4.53KB
2024-10-25 23:07:01
pdfcpu-0.9.1-r0.apk
4.36MB
2024-10-27 19:25:52
pdfcrack-0.20-r0.apk
24.34KB
2024-10-25 23:07:01
pdm-2.18.2-r0.apk
227.26KB
2024-10-25 23:07:01
pdm-pyc-2.18.2-r0.apk
482.34KB
2024-10-25 23:07:01
pebble-le-0.3.0-r2.apk
63.94KB
2024-12-14 20:56:25
pebble-le-dev-0.3.0-r2.apk
43.45KB
2024-12-14 20:56:25
pebble-le-doc-0.3.0-r2.apk
3.68KB
2024-12-14 20:56:25
peervpn-0.044-r5.apk
39.55KB
2024-10-25 23:07:01
peervpn-openrc-0.044-r5.apk
1.79KB
2024-10-25 23:07:01
peg-0.1.18-r1.apk
33.91KB
2024-10-25 23:07:01
peg-doc-0.1.18-r1.apk
13.67KB
2024-10-25 23:07:01
percona-toolkit-3.5.4-r1.apk
1.78MB
2024-10-25 23:07:01
percona-toolkit-doc-3.5.4-r1.apk
297.52KB
2024-10-25 23:07:01
perl-adapter-async-0.019-r0.apk
8.11KB
2024-10-25 23:07:01
perl-adapter-async-doc-0.019-r0.apk
16.75KB
2024-10-25 23:07:01
perl-algorithm-backoff-0.010-r0.apk
9.59KB
2024-10-25 23:07:01
perl-algorithm-backoff-doc-0.010-r0.apk
29.47KB
2024-10-25 23:07:01
perl-algorithm-c3-0.11-r1.apk
5.63KB
2024-10-25 23:07:01
perl-algorithm-c3-doc-0.11-r1.apk
5.08KB
2024-10-25 23:07:01
perl-algorithm-cron-0.10-r4.apk
6.08KB
2024-10-25 23:07:01
perl-algorithm-cron-doc-0.10-r4.apk
4.58KB
2024-10-25 23:07:01
perl-algorithm-evolutionary-0.82.1-r0.apk
78.74KB
2024-10-25 23:07:01
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
147.73KB
2024-10-25 23:07:01
perl-algorithm-permute-0.17-r0.apk
11.36KB
2024-10-25 23:07:01
perl-algorithm-permute-doc-0.17-r0.apk
5.03KB
2024-10-25 23:07:01
perl-aliased-0.34-r4.apk
5.64KB
2024-10-25 23:07:01
perl-aliased-doc-0.34-r4.apk
5.65KB
2024-10-25 23:07:01
perl-anyevent-dns-etchosts-0.0105-r0.apk
5.09KB
2024-10-25 23:07:01
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
3.96KB
2024-10-25 23:07:01
perl-anyevent-future-0.05-r0.apk
5.14KB
2024-10-25 23:07:01
perl-anyevent-future-doc-0.05-r0.apk
5.40KB
2024-10-25 23:07:01
perl-anyevent-riperedis-0.48-r0.apk
12.37KB
2024-10-25 23:07:01
perl-anyevent-riperedis-doc-0.48-r0.apk
10.28KB
2024-10-25 23:07:01
perl-archive-extract-0.88-r1.apk
15.73KB
2024-10-25 23:07:01
perl-archive-extract-doc-0.88-r1.apk
6.81KB
2024-10-25 23:07:01
perl-autobox-3.0.2-r0.apk
18.25KB
2024-10-25 23:07:01
perl-autobox-doc-3.0.2-r0.apk
8.85KB
2024-10-25 23:07:01
perl-b-hooks-op-check-0.22-r0.apk
6.35KB
2024-10-25 23:07:01
perl-b-hooks-op-check-doc-0.22-r0.apk
3.71KB
2024-10-25 23:07:01
perl-barcode-zbar-0.10-r3.apk
28.96KB
2024-10-25 23:07:01
perl-barcode-zbar-doc-0.10-r3.apk
12.56KB
2024-10-25 23:07:01
perl-bareword-filehandles-0.007-r0.apk
5.64KB
2024-10-25 23:07:01
perl-bareword-filehandles-doc-0.007-r0.apk
3.18KB
2024-10-25 23:07:01
perl-bind-config-parser-0.01-r5.apk
3.86KB
2024-10-25 23:07:01
perl-bind-config-parser-doc-0.01-r5.apk
3.61KB
2024-10-25 23:07:01
perl-bsd-resource-1.2911-r10.apk
19.44KB
2024-10-25 23:07:01
perl-bsd-resource-doc-1.2911-r10.apk
7.86KB
2024-10-25 23:07:01
perl-bytes-random-secure-0.29-r0.apk
14.30KB
2024-10-25 23:07:01
perl-bytes-random-secure-doc-0.29-r0.apk
12.13KB
2024-10-25 23:07:01
perl-cache-lru-0.04-r0.apk
2.94KB
2024-10-25 23:07:01
perl-cache-lru-doc-0.04-r0.apk
3.20KB
2024-10-25 23:07:01
perl-cairo-1.109-r4.apk
69.29KB
2024-10-25 23:07:01
perl-cairo-doc-1.109-r4.apk
13.91KB
2024-10-25 23:07:01
perl-cairo-gobject-1.005-r4.apk
6.07KB
2024-10-25 23:07:01
perl-cairo-gobject-doc-1.005-r4.apk
2.95KB
2024-10-25 23:07:01
perl-cgi-expand-2.05-r4.apk
6.85KB
2024-10-25 23:07:01
perl-cgi-expand-doc-2.05-r4.apk
6.14KB
2024-10-25 23:07:01
perl-check-unitcheck-0.13-r1.apk
5.73KB
2024-10-25 23:07:01
perl-check-unitcheck-doc-0.13-r1.apk
3.56KB
2024-10-25 23:07:01
perl-class-accessor-grouped-0.10014-r2.apk
12.00KB
2024-10-25 23:07:01
perl-class-accessor-grouped-doc-0.10014-r2.apk
7.46KB
2024-10-25 23:07:01
perl-class-c3-0.35-r1.apk
9.44KB
2024-10-25 23:07:01
perl-class-c3-componentised-1.001002-r2.apk
5.50KB
2024-10-25 23:07:01
perl-class-c3-componentised-doc-1.001002-r2.apk
5.32KB
2024-10-25 23:07:01
perl-class-c3-doc-0.35-r1.apk
9.26KB
2024-10-25 23:07:01
perl-class-inner-0.200001-r5.apk
3.93KB
2024-10-25 23:07:01
perl-class-inner-doc-0.200001-r5.apk
4.09KB
2024-10-25 23:07:01
perl-cli-osprey-0.08-r0.apk
12.75KB
2024-12-28 06:54:12
perl-cli-osprey-doc-0.08-r0.apk
12.05KB
2024-12-28 06:54:12
perl-color-ansi-util-0.165-r0.apk
7.29KB
2024-10-25 23:07:01
perl-color-ansi-util-doc-0.165-r0.apk
5.16KB
2024-10-25 23:07:01
perl-color-rgb-util-0.607-r0.apk
9.36KB
2024-10-25 23:07:01
perl-color-rgb-util-doc-0.607-r0.apk
7.39KB
2024-10-25 23:07:01
perl-conf-libconfig-1.0.3-r0.apk
22.98KB
2024-10-25 23:07:01
perl-conf-libconfig-doc-1.0.3-r0.apk
5.44KB
2024-10-25 23:07:01
perl-constant-defer-6-r5.apk
7.39KB
2024-10-25 23:07:01
perl-constant-defer-doc-6-r5.apk
6.93KB
2024-10-25 23:07:01
perl-constant-generate-0.17-r5.apk
8.73KB
2024-10-25 23:07:01
perl-constant-generate-doc-0.17-r5.apk
7.02KB
2024-10-25 23:07:01
perl-context-preserve-0.03-r4.apk
3.85KB
2024-10-25 23:07:01
perl-context-preserve-doc-0.03-r4.apk
4.19KB
2024-10-25 23:07:01
perl-cpan-changes-0.500004-r0.apk
13.65KB
2024-10-25 23:07:01
perl-cpan-changes-doc-0.500004-r0.apk
18.23KB
2024-10-25 23:07:01
perl-crypt-random-seed-0.03-r0.apk
11.22KB
2024-10-25 23:07:01
perl-crypt-random-seed-doc-0.03-r0.apk
8.78KB
2024-10-25 23:07:01
perl-crypt-saltedhash-0.09-r5.apk
6.93KB
2024-10-25 23:07:01
perl-crypt-saltedhash-doc-0.09-r5.apk
6.34KB
2024-10-25 23:07:01
perl-css-object-0.2.0-r0.apk
22.75KB
2024-10-25 23:07:01
perl-css-object-doc-0.2.0-r0.apk
32.56KB
2024-10-25 23:07:01
perl-daemon-control-0.001010-r2.apk
12.36KB
2024-10-25 23:07:01
perl-daemon-control-doc-0.001010-r2.apk
8.23KB
2024-10-25 23:07:01
perl-dancer-plugin-auth-extensible-1.00-r5.apk
15.29KB
2024-10-25 23:07:01
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
14.79KB
2024-10-25 23:07:01
perl-dancer-plugin-dbic-0.2104-r5.apk
4.91KB
2024-10-25 23:07:01
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
5.33KB
2024-10-25 23:07:01
perl-dancer-plugin-passphrase-2.0.1-r4.apk
9.68KB
2024-10-25 23:07:01
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
8.43KB
2024-10-25 23:07:01
perl-dancer2-1.1.2-r0.apk
163.04KB
2024-12-28 06:54:12
perl-dancer2-doc-1.1.2-r0.apk
300.77KB
2024-12-28 06:54:12
perl-data-checks-0.10-r0.apk
20.63KB
2024-10-25 23:07:01
perl-data-checks-doc-0.10-r0.apk
8.20KB
2024-10-25 23:07:01
perl-data-validate-domain-0.15-r0.apk
5.82KB
2024-10-25 23:07:01
perl-data-validate-domain-doc-0.15-r0.apk
5.45KB
2024-10-25 23:07:01
perl-data-validate-ip-0.31-r1.apk
8.81KB
2024-10-25 23:07:01
perl-data-validate-ip-doc-0.31-r1.apk
5.85KB
2024-10-25 23:07:01
perl-database-async-0.019-r0.apk
22.78KB
2024-10-25 23:07:01
perl-database-async-doc-0.019-r0.apk
29.18KB
2024-10-25 23:07:01
perl-database-async-engine-postgresql-1.005-r0.apk
13.94KB
2024-10-25 23:07:01
perl-database-async-engine-postgresql-doc-1.005-r0.apk
9.31KB
2024-10-25 23:07:01
perl-datetime-format-atom-1.8.0-r0.apk
3.15KB
2025-01-05 17:08:09
perl-datetime-format-atom-doc-1.8.0-r0.apk
3.77KB
2025-01-05 17:08:09
perl-datetime-format-flexible-0.37-r0.apk
18.05KB
2024-12-31 15:25:59
perl-datetime-format-flexible-doc-0.37-r0.apk
12.12KB
2024-12-31 15:25:59
perl-datetime-format-rfc3339-1.10.0-r0.apk
4.39KB
2025-01-05 17:08:09
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
4.14KB
2025-01-05 17:08:09
perl-datetime-timezone-alias-0.06-r0.apk
2.52KB
2024-10-25 23:07:01
perl-datetime-timezone-alias-doc-0.06-r0.apk
7.60KB
2024-10-25 23:07:01
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
11.81KB
2024-10-25 23:07:01
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
15.03KB
2024-10-25 23:07:01
perl-dbicx-sugar-0.0200-r5.apk
5.87KB
2024-10-25 23:07:01
perl-dbicx-sugar-doc-0.0200-r5.apk
5.31KB
2024-10-25 23:07:01
perl-dbix-class-0.082843-r1.apk
430.18KB
2024-10-25 23:07:01
perl-dbix-class-candy-0.005004-r0.apk
7.87KB
2024-10-30 07:59:45
perl-dbix-class-candy-doc-0.005004-r0.apk
9.48KB
2024-10-30 07:59:45
perl-dbix-class-doc-0.082843-r1.apk
345.37KB
2024-10-25 23:07:01
perl-dbix-class-helpers-2.037000-r0.apk
47.71KB
2024-11-20 00:34:16
perl-dbix-class-helpers-doc-2.037000-r0.apk
121.36KB
2024-11-20 00:34:16
perl-dbix-connector-0.60-r0.apk
14.75KB
2024-12-30 08:37:12
perl-dbix-connector-doc-0.60-r0.apk
22.30KB
2024-12-30 08:37:12
perl-dbix-datasource-0.02-r5.apk
4.28KB
2024-10-25 23:07:01
perl-dbix-datasource-doc-0.02-r5.apk
7.52KB
2024-10-25 23:07:01
perl-dbix-introspector-0.001005-r4.apk
8.03KB
2024-10-25 23:07:01
perl-dbix-introspector-doc-0.001005-r4.apk
8.44KB
2024-10-25 23:07:01
perl-dbix-lite-0.36-r0.apk
18.02KB
2024-12-30 08:37:12
perl-dbix-lite-doc-0.36-r0.apk
17.52KB
2024-12-30 08:37:12
perl-devel-confess-0.009004-r0.apk
11.41KB
2024-10-25 23:07:01
perl-devel-confess-doc-0.009004-r0.apk
6.63KB
2024-10-25 23:07:01
perl-devel-leak-0.03-r13.apk
6.41KB
2024-10-25 23:07:01
perl-devel-leak-doc-0.03-r13.apk
3.29KB
2024-10-25 23:07:01
perl-devel-refcount-0.10-r1.apk
6.00KB
2024-10-25 23:07:01
perl-devel-refcount-doc-0.10-r1.apk
4.25KB
2024-10-25 23:07:01
perl-digest-bcrypt-1.212-r1.apk
5.55KB
2024-10-25 23:07:01
perl-digest-bcrypt-doc-1.212-r1.apk
5.16KB
2024-10-25 23:07:01
perl-digest-crc-0.24-r1.apk
9.52KB
2024-10-25 23:07:01
perl-digest-crc-doc-0.24-r1.apk
3.18KB
2024-10-25 23:07:01
perl-dns-unbound-0.29-r1.apk
22.86KB
2024-10-25 23:07:01
perl-dns-unbound-anyevent-0.29-r1.apk
2.34KB
2024-10-25 23:07:01
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk
1.93KB
2024-10-25 23:07:01
perl-dns-unbound-doc-0.29-r1.apk
15.28KB
2024-10-25 23:07:01
perl-dns-unbound-ioasync-0.29-r1.apk
2.41KB
2024-10-25 23:07:01
perl-dns-unbound-mojo-0.29-r1.apk
2.67KB
2024-10-25 23:07:01
perl-email-abstract-3.010-r0.apk
7.64KB
2024-10-25 23:07:01
perl-email-abstract-doc-3.010-r0.apk
12.88KB
2024-10-25 23:07:01
perl-email-mime-attachment-stripper-1.317-r5.apk
3.95KB
2024-10-25 23:07:01
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
3.80KB
2024-10-25 23:07:01
perl-email-reply-1.204-r5.apk
6.13KB
2024-10-25 23:07:01
perl-email-reply-doc-1.204-r5.apk
4.79KB
2024-10-25 23:07:01
perl-ev-hiredis-0.07-r1.apk
12.83KB
2024-10-25 23:07:01
perl-ev-hiredis-doc-0.07-r1.apk
4.17KB
2024-10-25 23:07:01
perl-extutils-makemaker-7.70-r2.apk
174.73KB
2024-10-25 23:07:01
perl-extutils-xsbuilder-0.28-r5.apk
43.05KB
2024-10-25 23:07:01
perl-extutils-xsbuilder-doc-0.28-r5.apk
21.13KB
2024-10-25 23:07:01
perl-feed-find-0.13-r0.apk
3.83KB
2024-10-25 23:07:01
perl-feed-find-doc-0.13-r0.apk
3.72KB
2024-10-25 23:07:01
perl-ffi-c-0.15-r0.apk
19.85KB
2024-10-25 23:07:01
perl-ffi-c-doc-0.15-r0.apk
28.60KB
2024-10-25 23:07:01
perl-ffi-platypus-2.10-r0.apk
181.72KB
2024-12-19 06:41:48
perl-ffi-platypus-doc-2.10-r0.apk
146.14KB
2024-12-19 06:41:48
perl-ffi-platypus-type-enum-0.06-r0.apk
5.18KB
2024-10-25 23:07:01
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
5.14KB
2024-10-25 23:07:01
perl-file-mmagic-xs-0.09008-r4.apk
27.55KB
2024-10-25 23:07:01
perl-file-mmagic-xs-doc-0.09008-r4.apk
4.20KB
2024-10-25 23:07:01
perl-file-rename-2.02-r0.apk
7.52KB
2024-10-25 23:07:01
perl-file-rename-doc-2.02-r0.apk
12.07KB
2024-10-25 23:07:01
perl-flowd-0.9.1-r10.apk
22.84KB
2024-10-25 23:07:01
perl-flowd-doc-0.9.1-r10.apk
3.21KB
2024-10-25 23:07:01
perl-freezethaw-0.5001-r2.apk
9.82KB
2024-10-25 23:07:01
perl-freezethaw-doc-0.5001-r2.apk
5.64KB
2024-10-25 23:07:01
perl-full-1.004-r0.apk
7.12KB
2024-10-25 23:07:01
perl-full-doc-1.004-r0.apk
10.30KB
2024-10-25 23:07:01
perl-future-asyncawait-hooks-0.02-r0.apk
7.70KB
2024-10-25 23:07:01
perl-future-asyncawait-hooks-doc-0.02-r0.apk
3.24KB
2024-10-25 23:07:01
perl-future-http-0.17-r0.apk
9.24KB
2024-10-25 23:07:01
perl-future-http-doc-0.17-r0.apk
15.68KB
2024-10-25 23:07:01
perl-future-q-0.120-r0.apk
9.58KB
2024-10-25 23:07:01
perl-future-q-doc-0.120-r0.apk
9.09KB
2024-10-25 23:07:01
perl-future-queue-0.52-r0.apk
4.07KB
2024-10-25 23:07:01
perl-future-queue-doc-0.52-r0.apk
4.25KB
2024-10-25 23:07:01
perl-gearman-2.004.015-r3.apk
27.44KB
2024-10-25 23:07:01
perl-gearman-doc-2.004.015-r3.apk
19.76KB
2024-10-25 23:07:01
perl-getopt-long-descriptive-0.116-r0.apk
14.63KB
2024-12-31 11:39:55
perl-getopt-long-descriptive-doc-0.116-r0.apk
10.99KB
2024-12-31 11:39:55
perl-getopt-tabular-0.3-r4.apk
23.22KB
2024-10-25 23:07:01
perl-getopt-tabular-doc-0.3-r4.apk
16.72KB
2024-10-25 23:07:01
perl-git-raw-0.90-r2.apk
162.41KB
2024-11-22 20:29:45
perl-git-raw-doc-0.90-r2.apk
117.17KB
2024-11-22 20:29:45
perl-git-repository-1.325-r0.apk
16.32KB
2024-10-25 23:07:01
perl-git-repository-doc-1.325-r0.apk
31.48KB
2024-10-25 23:07:01
perl-git-version-compare-1.005-r0.apk
5.38KB
2024-10-25 23:07:01
perl-git-version-compare-doc-1.005-r0.apk
4.84KB
2024-10-25 23:07:01
perl-glib-ex-objectbits-17-r0.apk
15.05KB
2024-10-25 23:07:01
perl-glib-ex-objectbits-doc-17-r0.apk
22.39KB
2024-10-25 23:07:01
perl-glib-object-introspection-0.051-r1.apk
55.96KB
2024-10-25 23:07:01
perl-glib-object-introspection-doc-0.051-r1.apk
11.06KB
2024-10-25 23:07:01
perl-graphql-client-0.605-r0.apk
7.12KB
2024-10-25 23:07:01
perl-graphql-client-cli-0.605-r0.apk
7.80KB
2024-10-25 23:07:01
perl-graphql-client-doc-0.605-r0.apk
13.96KB
2024-10-25 23:07:01
perl-gtk2-1.24993-r6.apk
817.34KB
2024-10-25 23:07:01
perl-gtk2-doc-1.24993-r6.apk
670.39KB
2024-10-25 23:07:01
perl-gtk2-ex-listmodelconcat-11-r4.apk
12.74KB
2024-10-25 23:07:01
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
7.28KB
2024-10-25 23:07:01
perl-gtk2-ex-widgetbits-48-r3.apk
65.70KB
2024-10-25 23:07:01
perl-gtk2-ex-widgetbits-doc-48-r3.apk
81.21KB
2024-10-25 23:07:01
perl-gtk3-0.038-r1.apk
19.62KB
2024-10-25 23:07:01
perl-gtk3-doc-0.038-r1.apk
9.14KB
2024-10-25 23:07:01
perl-guard-1.023-r9.apk
8.16KB
2024-10-25 23:07:01
perl-guard-doc-1.023-r9.apk
5.28KB
2024-10-25 23:07:01
perl-hash-ordered-0.014-r0.apk
9.77KB
2024-10-25 23:07:01
perl-hash-ordered-doc-0.014-r0.apk
19.22KB
2024-10-25 23:07:01
perl-html-object-0.5.1-r0.apk
348.34KB
2024-10-25 23:07:01
perl-html-object-doc-0.5.1-r0.apk
471.85KB
2024-10-25 23:07:01
perl-html-selector-xpath-0.28-r0.apk
6.19KB
2024-10-25 23:07:01
perl-html-selector-xpath-doc-0.28-r0.apk
4.05KB
2024-10-25 23:07:01
perl-html-tableextract-2.15-r4.apk
17.67KB
2024-10-25 23:07:01
perl-html-tableextract-doc-2.15-r4.apk
9.90KB
2024-10-25 23:07:01
perl-http-thin-0.006-r0.apk
3.06KB
2024-10-25 23:07:01
perl-http-thin-doc-0.006-r0.apk
3.43KB
2024-10-25 23:07:01
perl-http-xsheaders-0.400005-r1.apk
17.32KB
2024-10-25 23:07:01
perl-http-xsheaders-doc-0.400005-r1.apk
6.32KB
2024-10-25 23:07:01
perl-i18n-langinfo-wide-9-r4.apk
4.20KB
2024-10-25 23:07:01
perl-i18n-langinfo-wide-doc-9-r4.apk
4.07KB
2024-10-25 23:07:01
perl-indirect-0.39-r1.apk
14.57KB
2024-10-25 23:07:01
perl-indirect-doc-0.39-r1.apk
6.35KB
2024-10-25 23:07:01
perl-io-lambda-1.34-r0.apk
75.46KB
2024-10-25 23:07:01
perl-io-lambda-doc-1.34-r0.apk
67.95KB
2024-10-25 23:07:01
perl-io-sessiondata-1.03-r3.apk
5.74KB
2024-10-25 23:07:01
perl-json-maybeutf8-2.000-r0.apk
3.10KB
2024-10-25 23:07:01
perl-json-maybeutf8-doc-2.000-r0.apk
3.54KB
2024-10-25 23:07:01
perl-json-path-1.0.6-r0.apk
16.19KB
2024-10-25 23:07:01
perl-json-path-doc-1.0.6-r0.apk
12.60KB
2024-10-25 23:07:01
perl-json-validator-5.14-r0.apk
59.31KB
2024-10-25 23:07:01
perl-json-validator-doc-5.14-r0.apk
33.21KB
2024-10-25 23:07:01
perl-lib-abs-0.95-r0.apk
3.86KB
2024-10-25 23:07:01
perl-lib-abs-doc-0.95-r0.apk
3.96KB
2024-10-25 23:07:01
perl-libapreq2-2.17-r2.apk
94.57KB
2024-10-25 23:07:01
perl-libapreq2-dev-2.17-r2.apk
54.62KB
2024-10-25 23:07:01
perl-libapreq2-doc-2.17-r2.apk
37.09KB
2024-10-25 23:07:01
perl-libintl-perl-1.34-r0.apk
304.89KB
2025-01-10 14:11:14
perl-libintl-perl-doc-1.34-r0.apk
571.89KB
2025-01-10 14:11:14
perl-linux-pid-0.04-r13.apk
4.60KB
2024-10-25 23:07:01
perl-linux-pid-doc-0.04-r13.apk
3.00KB
2024-10-25 23:07:01
perl-list-binarysearch-0.25-r0.apk
9.94KB
2024-10-25 23:07:01
perl-list-binarysearch-doc-0.25-r0.apk
11.52KB
2024-10-25 23:07:01
perl-list-binarysearch-xs-0.09-r1.apk
11.55KB
2024-10-25 23:07:01
perl-list-binarysearch-xs-doc-0.09-r1.apk
8.07KB
2024-10-25 23:07:01
perl-list-keywords-0.11-r0.apk
13.55KB
2024-10-25 23:07:01
perl-list-keywords-doc-0.11-r0.apk
5.51KB
2024-10-25 23:07:01
perl-log-fu-0.31-r4.apk
10.49KB
2024-10-25 23:07:01
perl-log-fu-doc-0.31-r4.apk
7.24KB
2024-10-25 23:07:01
perl-log-message-0.08-r3.apk
10.58KB
2024-10-25 23:07:01
perl-log-message-doc-0.08-r3.apk
12.13KB
2024-10-25 23:07:01
perl-log-message-simple-0.10-r3.apk
4.19KB
2024-10-25 23:07:01
perl-log-message-simple-doc-0.10-r3.apk
3.93KB
2024-10-25 23:07:01
perl-lv-0.006-r0.apk
4.05KB
2024-10-25 23:07:01
perl-lv-backend-magic-0.006-r0.apk
2.09KB
2024-10-25 23:07:01
perl-lv-backend-sentinel-0.006-r0.apk
1.97KB
2024-10-25 23:07:01
perl-lv-doc-0.006-r0.apk
4.03KB
2024-10-25 23:07:01
perl-lwp-online-1.08-r0.apk
6.13KB
2024-10-25 23:07:01
perl-lwp-online-doc-1.08-r0.apk
5.67KB
2024-10-25 23:07:01
perl-lwp-useragent-cached-0.08-r1.apk
6.36KB
2024-10-25 23:07:01
perl-lwp-useragent-cached-doc-0.08-r1.apk
5.63KB
2024-10-25 23:07:01
perl-mastodon-client-0.017-r0.apk
22.04KB
2024-10-25 23:07:01
perl-mastodon-client-doc-0.017-r0.apk
32.96KB
2024-10-25 23:07:01
perl-math-int64-0.57-r1.apk
28.75KB
2024-10-25 23:07:01
perl-math-int64-doc-0.57-r1.apk
10.44KB
2024-10-25 23:07:01
perl-math-libm-1.00-r14.apk
9.46KB
2024-10-25 23:07:01
perl-math-libm-doc-1.00-r14.apk
3.15KB
2024-10-25 23:07:01
perl-math-random-0.72-r0.apk
34.00KB
2024-10-25 23:07:01
perl-math-random-doc-0.72-r0.apk
10.84KB
2024-10-25 23:07:01
perl-math-random-isaac-xs-1.004-r8.apk
7.34KB
2024-10-25 23:07:01
perl-math-random-isaac-xs-doc-1.004-r8.apk
3.76KB
2024-10-25 23:07:01
perl-mce-1.901-r0.apk
134.33KB
2025-01-03 06:21:39
perl-mce-doc-1.901-r0.apk
169.66KB
2025-01-03 06:21:39
perl-minion-10.31-r0.apk
1.50MB
2024-10-25 23:07:01
perl-minion-backend-pg-10.31-r0.apk
9.63KB
2024-10-25 23:07:01
perl-minion-backend-redis-0.003-r0.apk
10.48KB
2024-10-25 23:07:01
perl-minion-backend-redis-doc-0.003-r0.apk
6.56KB
2024-10-25 23:07:01
perl-minion-backend-sqlite-5.0.7-r0.apk
10.10KB
2024-10-25 23:07:01
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
6.75KB
2024-10-25 23:07:01
perl-minion-doc-10.31-r0.apk
48.99KB
2024-10-25 23:07:01
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
3.42KB
2024-10-25 23:07:01
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
3.75KB
2024-10-25 23:07:01
perl-module-generic-0.37.7-r0.apk
252.79KB
2024-11-01 16:27:23
perl-module-generic-doc-0.37.7-r0.apk
201.26KB
2024-11-01 16:27:23
perl-mojo-reactor-ioasync-1.002-r0.apk
4.72KB
2024-10-25 23:07:01
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
4.36KB
2024-10-25 23:07:01
perl-mojo-redis-3.29-r0.apk
25.16KB
2024-10-25 23:07:01
perl-mojo-redis-doc-3.29-r0.apk
24.58KB
2024-10-25 23:07:01
perl-mojo-sqlite-3.009-r0.apk
15.93KB
2024-10-25 23:07:01
perl-mojo-sqlite-doc-3.009-r0.apk
19.34KB
2024-10-25 23:07:01
perl-mojolicious-plugin-openapi-5.09-r0.apk
28.56KB
2024-10-25 23:07:01
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk
33.75KB
2024-10-25 23:07:01
perl-multidimensional-0.014-r0.apk
4.72KB
2024-10-25 23:07:01
perl-multidimensional-doc-0.014-r0.apk
3.10KB
2024-10-25 23:07:01
perl-musicbrainz-discid-0.06-r1.apk
8.98KB
2024-10-25 23:07:01
perl-musicbrainz-discid-doc-0.06-r1.apk
4.28KB
2024-10-25 23:07:01
perl-net-address-ip-local-0.1.2-r0.apk
3.43KB
2024-10-25 23:07:01
perl-net-address-ip-local-doc-0.1.2-r0.apk
3.47KB
2024-10-25 23:07:01
perl-net-amqp-rabbitmq-2.40012-r0.apk
73.47KB
2024-10-25 23:07:01
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk
9.69KB
2024-10-25 23:07:01
perl-net-async-redis-6.006-r0.apk
58.44KB
2024-12-11 18:22:06
perl-net-async-redis-doc-6.006-r0.apk
65.90KB
2024-12-11 18:22:06
perl-net-async-redis-xs-1.001-r1.apk
8.61KB
2024-10-25 23:07:01
perl-net-async-redis-xs-doc-1.001-r1.apk
5.32KB
2024-10-25 23:07:01
perl-net-curl-0.56-r1.apk
57.30KB
2024-10-25 23:07:01
perl-net-curl-doc-0.56-r1.apk
39.30KB
2024-10-25 23:07:01
perl-net-curl-promiser-0.20-r0.apk
8.85KB
2024-10-25 23:07:01
perl-net-curl-promiser-anyevent-0.20-r0.apk
2.71KB
2024-10-25 23:07:01
perl-net-curl-promiser-doc-0.20-r0.apk
11.63KB
2024-10-25 23:07:01
perl-net-curl-promiser-ioasync-0.20-r0.apk
2.95KB
2024-10-25 23:07:01
perl-net-curl-promiser-mojo-0.20-r0.apk
3.09KB
2024-10-25 23:07:01
perl-net-idn-encode-2.500-r1.apk
83.75KB
2024-10-25 23:07:01
perl-net-idn-encode-doc-2.500-r1.apk
21.72KB
2024-10-25 23:07:01
perl-net-irr-0.10-r0.apk
5.45KB
2024-10-25 23:07:01
perl-net-irr-doc-0.10-r0.apk
5.15KB
2024-10-25 23:07:01
perl-net-jabber-2.0-r0.apk
50.60KB
2024-10-25 23:07:01
perl-net-jabber-bot-2.1.7-r0.apk
13.47KB
2024-10-25 23:07:01
perl-net-jabber-bot-doc-2.1.7-r0.apk
7.56KB
2024-10-25 23:07:01
perl-net-jabber-doc-2.0-r0.apk
48.33KB
2024-10-25 23:07:01
perl-net-netmask-2.0002-r2.apk
13.81KB
2024-10-25 23:07:01
perl-net-netmask-doc-2.0002-r2.apk
8.52KB
2024-10-25 23:07:01
perl-net-patricia-1.22-r12.apk
19.61KB
2024-10-25 23:07:01
perl-net-patricia-doc-1.22-r12.apk
6.04KB
2024-10-25 23:07:01
perl-net-xmpp-1.05-r0.apk
57.64KB
2024-10-25 23:07:01
perl-net-xmpp-doc-1.05-r0.apk
43.62KB
2024-10-25 23:07:01
perl-netaddr-mac-0.98-r1.apk
10.82KB
2024-10-25 23:07:01
perl-netaddr-mac-doc-0.98-r1.apk
7.97KB
2024-10-25 23:07:01
perl-nice-try-1.3.15-r0.apk
27.52KB
2024-11-07 04:17:01
perl-nice-try-doc-1.3.15-r0.apk
12.12KB
2024-11-07 04:17:01
perl-number-format-1.76-r1.apk
15.24KB
2024-10-25 23:07:01
perl-number-format-doc-1.76-r1.apk
9.00KB
2024-10-25 23:07:01
perl-number-misc-1.2-r5.apk
5.17KB
2024-10-25 23:07:01
perl-number-misc-doc-1.2-r5.apk
4.37KB
2024-10-25 23:07:01
perl-number-tolerant-1.710-r0.apk
14.82KB
2024-10-25 23:07:01
perl-number-tolerant-doc-1.710-r0.apk
25.62KB
2024-10-25 23:07:01
perl-object-array-0.060-r0.apk
5.69KB
2024-10-25 23:07:01
perl-object-array-doc-0.060-r0.apk
6.96KB
2024-10-25 23:07:01
perl-object-pad-fieldattr-checked-0.12-r0.apk
8.04KB
2024-10-25 23:07:01
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk
4.46KB
2024-10-25 23:07:01
perl-openapi-client-1.07-r0.apk
8.62KB
2024-10-25 23:07:01
perl-openapi-client-doc-1.07-r0.apk
7.34KB
2024-10-25 23:07:01
perl-opentracing-1.006-r0.apk
17.96KB
2024-10-25 23:07:01
perl-opentracing-doc-1.006-r0.apk
32.70KB
2024-10-25 23:07:01
perl-pango-1.227-r11.apk
75.00KB
2024-10-25 23:07:01
perl-pango-doc-1.227-r11.apk
80.88KB
2024-10-25 23:07:01
perl-path-iter-0.2-r3.apk
5.20KB
2024-10-25 23:07:01
perl-path-iter-doc-0.2-r3.apk
5.13KB
2024-10-25 23:07:01
perl-perlio-locale-0.10-r12.apk
4.37KB
2024-10-25 23:07:01
perl-perlio-locale-doc-0.10-r12.apk
3.05KB
2024-10-25 23:07:01
perl-plack-middleware-expires-0.06-r3.apk
3.85KB
2024-10-25 23:07:01
perl-plack-middleware-expires-doc-0.06-r3.apk
3.33KB
2024-10-25 23:07:01
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
3.08KB
2024-12-26 11:36:36
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
3.07KB
2024-12-26 11:36:36
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2.51KB
2024-12-27 16:02:14
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
3.08KB
2024-12-27 16:02:14
perl-plack-middleware-reverseproxy-0.16-r2.apk
3.14KB
2024-10-25 23:07:01
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
3.03KB
2024-10-25 23:07:01
perl-pod-cpandoc-0.16-r6.apk
4.55KB
2024-10-25 23:07:01
perl-pod-cpandoc-doc-0.16-r6.apk
4.88KB
2024-10-25 23:07:01
perl-pod-tidy-0.10-r1.apk
10.36KB
2024-10-25 23:07:01
perl-pod-tidy-doc-0.10-r1.apk
10.53KB
2024-10-25 23:07:01
perl-ppi-xs-0.910-r1.apk
5.62KB
2024-10-25 23:07:01
perl-ppi-xs-doc-0.910-r1.apk
3.37KB
2024-10-25 23:07:01
perl-proc-guard-0.07-r4.apk
3.67KB
2024-10-25 23:07:01
perl-proc-guard-doc-0.07-r4.apk
3.52KB
2024-10-25 23:07:01
perl-promise-es6-0.28-r0.apk
10.70KB
2024-10-25 23:07:01
perl-promise-es6-anyevent-0.28-r0.apk
2.49KB
2024-10-25 23:07:01
perl-promise-es6-doc-0.28-r0.apk
12.05KB
2024-10-25 23:07:01
perl-promise-es6-future-0.28-r0.apk
2.28KB
2024-10-25 23:07:01
perl-promise-es6-io-async-0.28-r0.apk
2.94KB
2024-10-25 23:07:01
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2.56KB
2024-10-25 23:07:01
perl-promise-me-0.5.0-r0.apk
25.57KB
2024-10-25 23:07:01
perl-promise-me-doc-0.5.0-r0.apk
12.01KB
2024-10-25 23:07:01
perl-promise-xs-0.20-r1.apk
21.59KB
2024-10-25 23:07:01
perl-promise-xs-doc-0.20-r1.apk
8.67KB
2024-10-25 23:07:01
perl-protocol-database-postgresql-2.001-r0.apk
19.16KB
2024-10-25 23:07:01
perl-protocol-database-postgresql-doc-2.001-r0.apk
35.84KB
2024-10-25 23:07:01
perl-protocol-redis-1.0021-r0.apk
5.62KB
2024-10-25 23:07:01
perl-protocol-redis-doc-1.0021-r0.apk
5.04KB
2024-10-25 23:07:01
perl-protocol-redis-faster-0.003-r0.apk
3.41KB
2024-10-25 23:07:01
perl-protocol-redis-faster-doc-0.003-r0.apk
3.30KB
2024-10-25 23:07:01
perl-ref-util-xs-0.117-r8.apk
8.61KB
2024-10-25 23:07:01
perl-ref-util-xs-doc-0.117-r8.apk
3.40KB
2024-10-25 23:07:01
perl-regexp-grammars-1.058-r0.apk
65.57KB
2024-10-25 23:07:01
perl-regexp-grammars-doc-1.058-r0.apk
47.79KB
2024-10-25 23:07:01
perl-role-eventemitter-0.003-r0.apk
3.65KB
2024-10-25 23:07:01
perl-role-eventemitter-doc-0.003-r0.apk
3.93KB
2024-10-25 23:07:01
perl-rxperl-6.29.8-r0.apk
26.28KB
2024-10-25 23:07:01
perl-rxperl-anyevent-6.8.1-r0.apk
2.71KB
2024-10-25 23:07:01
perl-rxperl-anyevent-doc-6.8.1-r0.apk
8.94KB
2024-10-25 23:07:01
perl-rxperl-doc-6.29.8-r0.apk
22.58KB
2024-10-25 23:07:01
perl-rxperl-ioasync-6.9.1-r0.apk
2.81KB
2024-10-25 23:07:01
perl-rxperl-ioasync-doc-6.9.1-r0.apk
8.98KB
2024-10-25 23:07:01
perl-rxperl-mojo-6.8.2-r0.apk
2.84KB
2024-10-25 23:07:01
perl-rxperl-mojo-doc-6.8.2-r0.apk
9.06KB
2024-10-25 23:07:01
perl-ryu-4.001-r0.apk
26.06KB
2024-10-25 23:07:01
perl-ryu-async-0.020-r0.apk
7.49KB
2024-10-25 23:07:01
perl-ryu-async-doc-0.020-r0.apk
11.82KB
2024-10-25 23:07:01
perl-ryu-doc-4.001-r0.apk
35.40KB
2024-10-25 23:07:01
perl-scalar-readonly-0.03-r1.apk
5.16KB
2024-10-25 23:07:01
perl-scalar-readonly-doc-0.03-r1.apk
3.38KB
2024-10-25 23:07:01
perl-sentinel-0.07-r1.apk
7.01KB
2024-10-25 23:07:01
perl-sentinel-doc-0.07-r1.apk
4.18KB
2024-10-25 23:07:01
perl-signature-attribute-checked-0.06-r0.apk
7.55KB
2024-10-25 23:07:01
perl-signature-attribute-checked-doc-0.06-r0.apk
4.57KB
2024-10-25 23:07:01
perl-snmp-5.0404-r13.apk
67.69KB
2024-10-25 23:07:01
perl-snmp-doc-5.0404-r13.apk
13.99KB
2024-10-25 23:07:01
perl-snmp-info-3.972002-r0.apk
332.73KB
2024-10-30 07:59:45
perl-snmp-info-doc-3.972002-r0.apk
378.85KB
2024-10-30 07:59:45
perl-soap-lite-1.27-r5.apk
110.20KB
2024-10-25 23:07:01
perl-soap-lite-doc-1.27-r5.apk
90.45KB
2024-10-25 23:07:01
perl-sort-naturally-1.03-r4.apk
8.65KB
2024-10-25 23:07:01
perl-sort-naturally-doc-1.03-r4.apk
5.44KB
2024-10-25 23:07:01
perl-sort-versions-1.62-r0.apk
3.72KB
2024-10-25 23:07:01
perl-sort-versions-doc-1.62-r0.apk
4.12KB
2024-10-25 23:07:01
perl-sql-abstract-classic-1.91-r1.apk
29.50KB
2024-10-25 23:07:01
perl-sql-abstract-classic-doc-1.91-r1.apk
20.21KB
2024-10-25 23:07:01
perl-sql-abstract-more-1.43-r0.apk
27.45KB
2024-12-30 08:37:12
perl-sql-abstract-more-doc-1.43-r0.apk
16.54KB
2024-12-30 08:37:12
perl-starman-0.4017-r0.apk
13.41KB
2024-10-25 23:07:01
perl-starman-doc-0.4017-r0.apk
9.98KB
2024-10-25 23:07:01
perl-statistics-basic-1.6611-r0.apk
9.22KB
2024-10-25 23:07:01
perl-statistics-basic-doc-1.6611-r0.apk
49.78KB
2024-10-25 23:07:01
perl-statistics-descriptive-3.0801-r0.apk
30.29KB
2024-10-25 23:07:01
perl-statistics-descriptive-doc-3.0801-r0.apk
37.49KB
2024-10-25 23:07:01
perl-storable-improved-0.1.3-r0.apk
6.68KB
2024-10-25 23:07:01
perl-storable-improved-doc-0.1.3-r0.apk
6.89KB
2024-10-25 23:07:01
perl-string-camelcase-0.04-r2.apk
3.17KB
2024-10-25 23:07:01
perl-string-camelcase-doc-0.04-r2.apk
3.44KB
2024-10-25 23:07:01
perl-string-compare-constanttime-0.321-r6.apk
7.14KB
2024-10-25 23:07:01
perl-string-compare-constanttime-doc-0.321-r6.apk
5.22KB
2024-10-25 23:07:01
perl-string-crc32-2.100-r4.apk
6.79KB
2024-10-25 23:07:01
perl-string-crc32-doc-2.100-r4.apk
3.37KB
2024-10-25 23:07:01
perl-string-random-0.32-r2.apk
7.89KB
2024-10-25 23:07:01
perl-string-random-doc-0.32-r2.apk
6.17KB
2024-10-25 23:07:01
perl-syntax-keyword-match-0.15-r0.apk
13.35KB
2024-10-25 23:07:01
perl-syntax-keyword-match-doc-0.15-r0.apk
7.76KB
2024-10-25 23:07:01
perl-syntax-operator-equ-0.10-r0.apk
7.82KB
2024-10-25 23:07:01
perl-syntax-operator-equ-doc-0.10-r0.apk
6.52KB
2024-10-25 23:07:01
perl-syntax-operator-in-0.10-r0.apk
9.43KB
2024-10-25 23:07:01
perl-syntax-operator-in-doc-0.10-r0.apk
5.84KB
2024-10-25 23:07:01
perl-sys-syscall-0.25-r10.apk
5.35KB
2024-10-25 23:07:01
perl-sys-syscall-doc-0.25-r10.apk
3.69KB
2024-10-25 23:07:01
perl-sys-virt-10.6.0-r0.apk
194.67KB
2024-10-25 23:07:01
perl-sys-virt-doc-10.6.0-r0.apk
98.88KB
2024-10-25 23:07:01
perl-system-command-1.122-r0.apk
11.81KB
2024-10-25 23:07:01
perl-system-command-doc-1.122-r0.apk
10.17KB
2024-10-25 23:07:01
perl-template-plugin-csv-0.04-r3.apk
2.69KB
2024-10-25 23:07:01
perl-template-plugin-csv-doc-0.04-r3.apk
3.01KB
2024-10-25 23:07:01
perl-template-plugin-number-format-1.06-r4.apk
4.89KB
2024-10-25 23:07:01
perl-template-plugin-number-format-doc-1.06-r4.apk
4.38KB
2024-10-25 23:07:01
perl-template-tiny-1.14-r0.apk
5.17KB
2024-12-15 12:09:01
perl-template-tiny-doc-1.14-r0.apk
4.69KB
2024-12-15 12:09:01
perl-term-size-0.211-r4.apk
5.55KB
2024-10-25 23:07:01
perl-term-size-doc-0.211-r4.apk
3.74KB
2024-10-25 23:07:01
perl-term-ui-0.50-r1.apk
9.97KB
2024-10-25 23:07:01
perl-term-ui-doc-0.50-r1.apk
8.48KB
2024-10-25 23:07:01
perl-test-api-0.010-r2.apk
5.07KB
2024-10-25 23:07:01
perl-test-api-doc-0.010-r2.apk
4.23KB
2024-10-25 23:07:01
perl-test-class-tiny-0.03-r0.apk
5.85KB
2024-10-25 23:07:01
perl-test-class-tiny-doc-0.03-r0.apk
5.42KB
2024-10-25 23:07:01
perl-test-describeme-0.004-r0.apk
3.59KB
2024-10-25 23:07:01
perl-test-describeme-doc-0.004-r0.apk
4.18KB
2024-10-25 23:07:01
perl-test-distribution-2.00-r1.apk
7.74KB
2024-10-25 23:07:01
perl-test-distribution-doc-2.00-r1.apk
6.06KB
2024-10-25 23:07:01
perl-test-expander-2.5.1-r0.apk
7.11KB
2024-10-25 23:07:01
perl-test-expander-doc-2.5.1-r0.apk
20.08KB
2024-10-25 23:07:01
perl-test-file-1.994-r0.apk
11.36KB
2025-01-12 06:09:32
perl-test-file-doc-1.994-r0.apk
6.74KB
2025-01-12 06:09:32
perl-test-files-0.26-r0.apk
6.71KB
2024-10-25 23:07:01
perl-test-files-doc-0.26-r0.apk
14.58KB
2024-10-25 23:07:01
perl-test-lwp-useragent-0.036-r0.apk
9.81KB
2024-10-25 23:07:01
perl-test-lwp-useragent-doc-0.036-r0.apk
8.33KB
2024-10-25 23:07:01
perl-test-memorygrowth-0.05-r0.apk
6.42KB
2024-10-25 23:07:01
perl-test-memorygrowth-doc-0.05-r0.apk
5.29KB
2024-10-25 23:07:01
perl-test-modern-0.013-r3.apk
14.60KB
2024-10-25 23:07:01
perl-test-modern-doc-0.013-r3.apk
9.85KB
2024-10-25 23:07:01
perl-test-randomresult-0.001-r0.apk
3.52KB
2024-10-25 23:07:01
perl-test-randomresult-doc-0.001-r0.apk
3.67KB
2024-10-25 23:07:01
perl-test-requires-git-1.008-r0.apk
4.76KB
2024-10-25 23:07:01
perl-test-requires-git-doc-1.008-r0.apk
4.38KB
2024-10-25 23:07:01
perl-test-roo-1.004-r3.apk
11.89KB
2024-10-25 23:07:01
perl-test-roo-doc-1.004-r3.apk
15.45KB
2024-10-25 23:07:01
perl-test-settings-0.003-r0.apk
4.95KB
2024-10-25 23:07:01
perl-test-settings-doc-0.003-r0.apk
6.04KB
2024-10-25 23:07:01
perl-test-timer-2.12-r2.apk
8.86KB
2024-10-25 23:07:01
perl-test-timer-doc-2.12-r2.apk
8.37KB
2024-10-25 23:07:01
perl-test-toolbox-0.4-r5.apk
9.83KB
2024-10-25 23:07:01
perl-test-toolbox-doc-0.4-r5.apk
6.15KB
2024-10-25 23:07:01
perl-test-trap-0.3.5-r1.apk
19.75KB
2024-10-25 23:07:01
perl-test-trap-doc-0.3.5-r1.apk
19.88KB
2024-10-25 23:07:01
perl-test-unit-0.27-r0.apk
36.84KB
2024-10-25 23:07:01
perl-test-unit-doc-0.27-r0.apk
48.31KB
2024-10-25 23:07:01
perl-test-useallmodules-0.17-r1.apk
3.80KB
2024-10-25 23:07:01
perl-test-useallmodules-doc-0.17-r1.apk
3.85KB
2024-10-25 23:07:01
perl-test-utf8-1.03-r0.apk
5.57KB
2024-11-20 00:34:16
perl-test-utf8-doc-1.03-r0.apk
4.86KB
2024-11-20 00:34:16
perl-test2-tools-explain-0.02-r0.apk
3.79KB
2024-10-25 23:07:01
perl-test2-tools-explain-doc-0.02-r0.apk
4.41KB
2024-10-25 23:07:01
perl-text-brew-0.02-r5.apk
4.51KB
2024-10-25 23:07:01
perl-text-brew-doc-0.02-r5.apk
4.12KB
2024-10-25 23:07:01
perl-text-table-any-0.117-r0.apk
8.05KB
2024-10-25 23:07:01
perl-text-table-any-doc-0.117-r0.apk
6.63KB
2024-10-25 23:07:01
perl-text-table-sprintf-0.008-r0.apk
5.34KB
2024-10-25 23:07:01
perl-text-table-sprintf-doc-0.008-r0.apk
5.20KB
2024-10-25 23:07:01
perl-throwable-1.001-r1.apk
6.21KB
2024-10-25 23:07:01
perl-throwable-doc-1.001-r1.apk
7.99KB
2024-10-25 23:07:01
perl-tickit-widget-choice-0.07-r0.apk
3.91KB
2024-10-25 23:07:01
perl-tickit-widget-choice-doc-0.07-r0.apk
3.39KB
2024-10-25 23:07:01
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
4.61KB
2024-10-25 23:07:01
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
3.83KB
2024-10-25 23:07:01
perl-tickit-widget-floatbox-0.11-r0.apk
4.67KB
2024-10-25 23:07:01
perl-tickit-widget-floatbox-doc-0.11-r0.apk
4.13KB
2024-10-25 23:07:01
perl-tickit-widget-menu-0.16-r0.apk
7.16KB
2024-10-25 23:07:01
perl-tickit-widget-menu-doc-0.16-r0.apk
6.89KB
2024-10-25 23:07:01
perl-tickit-widget-scrollbox-0.12-r0.apk
7.98KB
2024-10-25 23:07:01
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
6.52KB
2024-10-25 23:07:01
perl-time-moment-0.44-r0.apk
39.37KB
2024-10-25 23:07:01
perl-time-moment-doc-0.44-r0.apk
30.85KB
2024-10-25 23:07:01
perl-time-moment-role-strptime-0.001-r0.apk
2.75KB
2024-10-25 23:07:01
perl-time-moment-role-strptime-doc-0.001-r0.apk
3.36KB
2024-10-25 23:07:01
perl-time-moment-role-timezone-1.000-r0.apk
3.56KB
2024-10-25 23:07:01
perl-time-moment-role-timezone-doc-1.000-r0.apk
3.98KB
2024-10-25 23:07:01
perl-time-timegm-0.01-r9.apk
6.48KB
2024-10-25 23:07:01
perl-time-timegm-doc-0.01-r9.apk
3.81KB
2024-10-25 23:07:01
perl-types-path-tiny-0.006-r0.apk
3.93KB
2024-10-25 23:07:01
perl-types-path-tiny-doc-0.006-r0.apk
4.07KB
2024-10-25 23:07:01
perl-uri-db-0.23-r0.apk
10.89KB
2025-01-09 07:26:52
perl-uri-db-doc-0.23-r0.apk
8.35KB
2025-01-09 07:26:52
perl-uri-fetch-0.15-r0.apk
7.03KB
2024-10-25 23:07:01
perl-uri-fetch-doc-0.15-r0.apk
7.50KB
2024-10-25 23:07:01
perl-uri-nested-0.10-r0.apk
3.99KB
2024-10-25 23:07:01
perl-uri-nested-doc-0.10-r0.apk
3.88KB
2024-10-25 23:07:01
perl-uri-redis-0.02-r0.apk
3.16KB
2024-10-25 23:07:01
perl-uri-redis-doc-0.02-r0.apk
4.55KB
2024-10-25 23:07:01
perl-uri-tcp-2.0.0-r0.apk
2.69KB
2024-10-25 23:07:01
perl-uri-tcp-doc-2.0.0-r0.apk
4.93KB
2024-10-25 23:07:01
perl-url-encode-0.03-r4.apk
5.13KB
2024-10-25 23:07:01
perl-url-encode-doc-0.03-r4.apk
4.68KB
2024-10-25 23:07:01
perl-variable-disposition-0.005-r0.apk
3.25KB
2024-10-25 23:07:01
perl-variable-disposition-doc-0.005-r0.apk
5.63KB
2024-10-25 23:07:01
perl-x-tiny-0.22-r0.apk
6.87KB
2024-10-25 23:07:01
perl-x-tiny-doc-0.22-r0.apk
7.59KB
2024-10-25 23:07:01
perl-xml-atom-0.43-r0.apk
19.58KB
2024-10-25 23:07:01
perl-xml-atom-doc-0.43-r0.apk
15.89KB
2024-10-25 23:07:01
perl-xml-bare-0.53-r13.apk
27.20KB
2024-10-25 23:07:01
perl-xml-bare-doc-0.53-r13.apk
11.38KB
2024-10-25 23:07:01
perl-xml-feed-0.65-r0.apk
13.85KB
2024-10-25 23:07:01
perl-xml-feed-doc-0.65-r0.apk
12.40KB
2024-10-25 23:07:01
perl-xml-parser-style-easytree-0.09-r0.apk
4.97KB
2024-10-25 23:07:01
perl-xml-parser-style-easytree-doc-0.09-r0.apk
5.42KB
2024-10-25 23:07:01
perl-xml-rpc-2.1-r0.apk
5.73KB
2024-10-25 23:07:01
perl-xml-rpc-doc-2.1-r0.apk
4.86KB
2024-10-25 23:07:01
perl-xml-stream-1.24-r0.apk
44.01KB
2024-10-25 23:07:01
perl-xml-stream-doc-1.24-r0.apk
17.64KB
2024-10-25 23:07:01
persistent-cache-cpp-1.0.7-r2.apk
45.82KB
2024-10-25 23:07:01
persistent-cache-cpp-dev-1.0.7-r2.apk
18.12KB
2024-10-25 23:07:01
persistent-cache-cpp-doc-1.0.7-r2.apk
3.23KB
2024-10-25 23:07:01
pest-language-server-0.3.9-r0.apk
1.10MB
2024-10-25 23:07:01
pfetch-1.7.0-r0.apk
23.09KB
2025-01-03 20:51:29
pfetch-doc-1.7.0-r0.apk
5.55KB
2025-01-03 20:51:29
pgcat-1.2.0-r1.apk
2.58MB
2025-01-01 19:37:44
pgcat-openrc-1.2.0-r1.apk
1.88KB
2025-01-01 19:37:44
phoronix-test-suite-10.8.4-r2.apk
3.95MB
2024-10-25 23:07:02
phoronix-test-suite-bash-completion-10.8.4-r2.apk
1.75KB
2024-10-25 23:07:02
phoronix-test-suite-doc-10.8.4-r2.apk
287.46KB
2024-10-25 23:07:02
php81-8.1.31-r0.apk
1.71MB
2024-11-20 02:45:24
php81-apache2-8.1.31-r0.apk
1.68MB
2024-11-20 02:45:24
php81-bcmath-8.1.31-r0.apk
14.33KB
2024-11-20 02:45:24
php81-bz2-8.1.31-r0.apk
9.03KB
2024-11-20 02:45:24
php81-calendar-8.1.31-r0.apk
13.27KB
2024-11-20 02:45:24
php81-cgi-8.1.31-r0.apk
1.69MB
2024-11-20 02:45:24
php81-common-8.1.31-r0.apk
25.10KB
2024-11-20 02:45:24
php81-ctype-8.1.31-r0.apk
4.56KB
2024-11-20 02:45:24
php81-curl-8.1.31-r0.apk
35.67KB
2024-11-20 02:45:24
php81-dba-8.1.31-r0.apk
20.41KB
2024-11-20 02:45:24
php81-dev-8.1.31-r0.apk
938.83KB
2024-11-20 02:45:24
php81-doc-8.1.31-r0.apk
67.62KB
2024-11-20 02:45:24
php81-dom-8.1.31-r0.apk
57.02KB
2024-11-20 02:45:24
php81-embed-8.1.31-r0.apk
1.67MB
2024-11-20 02:45:24
php81-enchant-8.1.31-r0.apk
7.99KB
2024-11-20 02:45:24
php81-exif-8.1.31-r0.apk
30.91KB
2024-11-20 02:45:24
php81-ffi-8.1.31-r0.apk
64.15KB
2024-11-20 02:45:24
php81-fileinfo-8.1.31-r0.apk
375.30KB
2024-11-20 02:45:24
php81-fpm-8.1.31-r0.apk
1.75MB
2024-11-20 02:45:24
php81-ftp-8.1.31-r0.apk
21.44KB
2024-11-20 02:45:24
php81-gd-8.1.31-r0.apk
115.08KB
2024-11-20 02:45:24
php81-gettext-8.1.31-r0.apk
5.55KB
2024-11-20 02:45:24
php81-gmp-8.1.31-r0.apk
19.42KB
2024-11-20 02:45:24
php81-iconv-8.1.31-r0.apk
16.34KB
2024-11-20 02:45:24
php81-imap-8.1.31-r0.apk
31.81KB
2024-11-20 02:45:24
php81-intl-8.1.31-r0.apk
132.09KB
2024-11-20 02:45:24
php81-ldap-8.1.31-r0.apk
29.56KB
2024-11-20 02:45:24
php81-litespeed-8.1.31-r0.apk
1.70MB
2024-11-20 02:45:24
php81-mbstring-8.1.31-r0.apk
563.52KB
2024-11-20 02:45:24
php81-mysqli-8.1.31-r0.apk
40.03KB
2024-11-20 02:45:24
php81-mysqlnd-8.1.31-r0.apk
75.00KB
2024-11-20 02:45:24
php81-odbc-8.1.31-r0.apk
22.06KB
2024-11-20 02:45:24
php81-opcache-8.1.31-r0.apk
65.92KB
2024-11-20 02:45:24
php81-openssl-8.1.31-r0.apk
69.09KB
2024-11-20 02:45:24
php81-pcntl-8.1.31-r0.apk
12.64KB
2024-11-20 02:45:24
php81-pdo-8.1.31-r0.apk
38.72KB
2024-11-20 02:45:24
php81-pdo_dblib-8.1.31-r0.apk
11.01KB
2024-11-20 02:45:24
php81-pdo_mysql-8.1.31-r0.apk
12.21KB
2024-11-20 02:45:24
php81-pdo_odbc-8.1.31-r0.apk
11.70KB
2024-11-20 02:45:24
php81-pdo_pgsql-8.1.31-r0.apk
17.59KB
2024-11-20 02:45:24
php81-pdo_sqlite-8.1.31-r0.apk
11.61KB
2024-11-20 02:45:24
php81-pear-8.1.31-r0.apk
337.87KB
2024-11-20 02:45:24
php81-pecl-amqp-2.1.2-r0.apk
59.29KB
2024-10-25 23:07:02
php81-pecl-apcu-5.1.24-r0.apk
53.63KB
2024-10-25 23:07:02
php81-pecl-ast-1.1.2-r0.apk
20.83KB
2024-10-25 23:07:02
php81-pecl-brotli-0.15.2-r0.apk
11.06KB
2024-11-08 22:37:10
php81-pecl-couchbase-4.2.5-r0.apk
4.21MB
2024-11-24 19:25:26
php81-pecl-csv-0.4.2-r0.apk
9.51KB
2024-10-25 23:07:02
php81-pecl-decimal-1.5.0-r1.apk
17.91KB
2024-10-25 23:07:02
php81-pecl-ds-1.5.0-r0.apk
51.35KB
2024-10-25 23:07:02
php81-pecl-event-3.1.4-r0.apk
48.17KB
2024-10-25 23:07:02
php81-pecl-grpc-1.69.0-r0.apk
4.25MB
2025-01-10 00:13:09
php81-pecl-igbinary-3.2.16-r0.apk
29.00KB
2024-10-25 23:07:02
php81-pecl-imagick-3.7.0-r5.apk
104.53KB
2024-10-25 23:07:02
php81-pecl-imagick-dev-3.7.0-r5.apk
2.31KB
2024-10-25 23:07:02
php81-pecl-immutable_cache-6.1.0-r0.apk
37.48KB
2024-10-25 23:07:02
php81-pecl-jsmin-3.0.0-r0.apk
9.85KB
2024-10-25 23:07:02
php81-pecl-luasandbox-4.1.2-r0.apk
29.40KB
2024-10-25 23:07:02
php81-pecl-lzf-1.7.0-r0.apk
6.84KB
2024-10-25 23:07:02
php81-pecl-mailparse-3.1.8-r0.apk
22.19KB
2024-10-25 23:07:02
php81-pecl-maxminddb-1.12.0-r0.apk
8.12KB
2024-11-20 00:34:16
php81-pecl-mcrypt-1.0.7-r0.apk
15.29KB
2024-10-25 23:07:02
php81-pecl-memcache-8.2-r1.apk
42.37KB
2024-10-25 23:07:02
php81-pecl-memcached-3.3.0-r0.apk
46.20KB
2024-10-25 23:07:02
php81-pecl-mongodb-1.20.1-r0.apk
873.20KB
2024-11-27 18:48:38
php81-pecl-msgpack-3.0.0-r0.apk
27.06KB
2024-10-25 23:07:02
php81-pecl-oauth-2.0.9-r0.apk
35.67KB
2024-10-25 23:07:02
php81-pecl-opentelemetry-1.1.0-r0.apk
11.87KB
2024-10-25 23:07:02
php81-pecl-pcov-1.0.12-r0.apk
9.24KB
2024-12-04 19:17:33
php81-pecl-protobuf-4.29.3-r0.apk
136.22KB
2025-01-10 00:14:06
php81-pecl-psr-1.2.0-r0.apk
17.67KB
2024-10-25 23:07:02
php81-pecl-rdkafka-6.0.5-r0.apk
35.76KB
2024-11-04 14:51:58
php81-pecl-redis-6.1.0-r0.apk
196.57KB
2024-10-25 23:07:02
php81-pecl-smbclient-1.2.0_pre-r0.apk
20.34KB
2024-12-10 21:01:57
php81-pecl-ssh2-1.4.1-r0.apk
27.69KB
2024-10-25 23:07:02
php81-pecl-timezonedb-2024.2-r0.apk
189.75KB
2024-10-25 23:07:02
php81-pecl-uploadprogress-2.0.2-r1.apk
6.76KB
2024-10-25 23:07:02
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
9.92KB
2024-10-25 23:07:02
php81-pecl-uuid-1.2.1-r0.apk
6.32KB
2024-10-25 23:07:02
php81-pecl-xdebug-3.4.1-r0.apk
143.37KB
2025-01-07 06:43:01
php81-pecl-xhprof-2.3.10-r0.apk
12.15KB
2024-10-25 23:07:02
php81-pecl-xhprof-assets-2.3.10-r0.apk
800.61KB
2024-10-25 23:07:02
php81-pecl-xlswriter-1.5.8-r0.apk
219.90KB
2024-11-11 03:44:25
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
33.04KB
2024-10-25 23:07:02
php81-pecl-yaml-2.2.4-r0.apk
18.06KB
2024-10-25 23:07:02
php81-pecl-zephir_parser-1.7.0-r0.apk
64.68KB
2024-11-24 19:25:53
php81-pecl-zstd-0.14.0-r0.apk
13.27KB
2024-11-06 16:44:29
php81-pgsql-8.1.31-r0.apk
42.31KB
2024-11-20 02:45:24
php81-phar-8.1.31-r0.apk
117.79KB
2024-11-20 02:45:24
php81-phpdbg-8.1.31-r0.apk
1.75MB
2024-11-20 02:45:24
php81-posix-8.1.31-r0.apk
10.27KB
2024-11-20 02:45:24
php81-pspell-8.1.31-r0.apk
7.73KB
2024-11-20 02:45:24
php81-session-8.1.31-r0.apk
34.65KB
2024-11-20 02:45:24
php81-shmop-8.1.31-r0.apk
5.74KB
2024-11-20 02:45:24
php81-simplexml-8.1.31-r0.apk
20.61KB
2024-11-20 02:45:24
php81-snmp-8.1.31-r0.apk
19.07KB
2024-11-20 02:45:24
php81-soap-8.1.31-r0.apk
127.88KB
2024-11-20 02:45:24
php81-sockets-8.1.31-r0.apk
34.04KB
2024-11-20 02:45:24
php81-sodium-8.1.31-r0.apk
25.54KB
2024-11-20 02:45:24
php81-sqlite3-8.1.31-r0.apk
19.09KB
2024-11-20 02:45:24
php81-sysvmsg-8.1.31-r0.apk
7.10KB
2024-11-20 02:45:24
php81-sysvsem-8.1.31-r0.apk
5.42KB
2024-11-20 02:45:24
php81-sysvshm-8.1.31-r0.apk
6.28KB
2024-11-20 02:45:24
php81-tideways_xhprof-5.0.4-r1.apk
12.92KB
2024-10-25 23:07:02
php81-tidy-8.1.31-r0.apk
17.74KB
2024-11-20 02:45:24
php81-tokenizer-8.1.31-r0.apk
11.54KB
2024-11-20 02:45:24
php81-xml-8.1.31-r0.apk
17.61KB
2024-11-20 02:45:24
php81-xmlreader-8.1.31-r0.apk
12.26KB
2024-11-20 02:45:24
php81-xmlwriter-8.1.31-r0.apk
11.20KB
2024-11-20 02:45:24
php81-xsl-8.1.31-r0.apk
12.25KB
2024-11-20 02:45:24
php81-zip-8.1.31-r0.apk
24.40KB
2024-11-20 02:45:24
php82-pdlib-1.1.0-r1.apk
489.67KB
2024-10-25 23:07:02
php82-pecl-apfd-1.0.3-r0.apk
4.38KB
2024-10-25 23:07:02
php82-pecl-excimer-1.2.3-r0.apk
20.08KB
2024-12-04 03:32:12
php82-pecl-immutable_cache-6.1.0-r0.apk
37.71KB
2024-10-25 23:07:02
php82-pecl-jsmin-3.0.0-r0.apk
9.86KB
2024-10-25 23:07:02
php82-pecl-oauth-2.0.9-r0.apk
35.87KB
2024-10-25 23:07:02
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
28.64KB
2024-10-25 23:07:02
php82-pecl-teds-1.3.0-r0.apk
111.20KB
2024-10-25 23:07:02
php82-pecl-vld-0.18.0-r0.apk
15.20KB
2024-10-25 23:07:02
php82-pecl-zephir_parser-1.7.0-r0.apk
64.72KB
2024-11-24 19:25:53
php82-snappy-0.2.1-r1.apk
5.01KB
2024-10-25 23:07:02
php83-pecl-apfd-1.0.3-r0.apk
4.38KB
2024-10-25 23:07:02
php83-pecl-eio-3.1.3-r0.apk
27.64KB
2024-10-25 23:07:02
php83-pecl-ev-1.2.0-r0.apk
37.85KB
2024-10-25 23:07:02
php83-pecl-excimer-1.2.3-r0.apk
20.09KB
2024-12-04 03:32:12
php83-pecl-jsmin-3.0.0-r0.apk
9.85KB
2024-10-25 23:07:02
php83-pecl-oauth-2.0.9-r0.apk
35.94KB
2024-10-25 23:07:02
php83-pecl-phpy-1.0.8-r0.apk
37.51KB
2024-10-25 23:07:02
php83-pecl-uv-0.3.0-r0.apk
50.68KB
2024-10-25 23:07:02
php83-pecl-vld-0.18.0-r1.apk
14.83KB
2024-10-25 23:07:02
php83-pecl-zmq-1.1.4-r0.apk
30.43KB
2024-10-25 23:07:02
php84-pecl-ev-1.2.0-r1.apk
37.89KB
2024-10-25 23:07:03
php84-pecl-oauth-2.0.9-r0.apk
35.96KB
2024-10-25 23:07:03
php84-pecl-solr-2.8.0-r0.apk
88.64KB
2025-01-02 11:42:37
php84-pecl-uv-0.3.0-r0.apk
50.74KB
2024-10-28 14:47:07
phpactor-2024.06.30.0-r0.apk
3.80MB
2024-10-25 23:07:03
pick-4.0.0-r0.apk
9.88KB
2024-10-25 23:07:03
pick-doc-4.0.0-r0.apk
3.33KB
2024-10-25 23:07:03
pict-rs-0.5.16-r1.apk
6.57MB
2024-10-25 23:07:04
pict-rs-openrc-0.5.16-r1.apk
1.87KB
2024-10-25 23:07:04
pidif-0.1-r1.apk
165.43KB
2024-10-25 23:07:04
piglit-0_git20241106-r0.apk
88.09MB
2024-11-08 08:18:42
pigpio-79-r4.apk
214.37KB
2024-10-25 23:07:05
pigpio-dev-79-r4.apk
90.80KB
2024-10-25 23:07:05
pigpio-doc-79-r4.apk
115.35KB
2024-10-25 23:07:05
pigpio-openrc-79-r4.apk
1.62KB
2024-10-25 23:07:05
pimd-3.0_git20220201-r0.apk
83.81KB
2024-10-25 23:07:05
pimd-dense-2.1.0-r0.apk
51.19KB
2024-10-25 23:07:05
pimd-dense-doc-2.1.0-r0.apk
19.68KB
2024-10-25 23:07:05
pimd-dense-openrc-2.1.0-r0.apk
1.85KB
2024-10-25 23:07:05
pimd-doc-3.0_git20220201-r0.apk
34.76KB
2024-10-25 23:07:05
pimd-openrc-3.0_git20220201-r0.apk
1.62KB
2024-10-25 23:07:05
pinentry-bemenu-0.13.1-r0.apk
8.03KB
2024-10-25 23:07:05
pipectl-0.4.1-r1.apk
5.57KB
2024-10-25 23:07:05
pipectl-doc-0.4.1-r1.apk
3.01KB
2024-10-25 23:07:05
pipeline-2.1.0-r0.apk
1.74MB
2024-12-07 05:50:33
pipeline-doc-2.1.0-r0.apk
13.51KB
2024-12-07 05:50:33
pipeline-lang-2.1.0-r0.apk
58.67KB
2024-12-07 05:50:33
piper-phonemize-2023.11.14.4-r5.apk
9.00MB
2024-10-25 23:07:05
piper-phonemize-dev-2023.11.14.4-r5.apk
394.48KB
2024-10-25 23:07:05
piper-phonemize-libs-2023.11.14.4-r5.apk
71.15KB
2024-10-25 23:07:05
piper-tts-2023.11.14.2-r9.apk
174.48KB
2024-12-18 13:47:35
piper-tts-dev-2023.11.14.2-r9.apk
140.96KB
2024-12-18 13:47:35
piping-server-0.18.0-r0.apk
1.32MB
2024-10-25 23:07:05
piping-server-openrc-0.18.0-r0.apk
1.79KB
2024-10-25 23:07:05
pithos-1.6.1-r0.apk
104.46KB
2024-10-25 23:07:05
pithos-doc-1.6.1-r0.apk
2.09KB
2024-10-25 23:07:05
pithos-pyc-1.6.1-r0.apk
154.29KB
2024-10-25 23:07:05
pitivi-2023.03-r2.apk
2.68MB
2024-12-23 00:06:39
pitivi-lang-2023.03-r2.apk
678.27KB
2024-12-23 00:06:39
pitivi-pyc-2023.03-r2.apk
700.16KB
2024-12-23 00:06:39
pixiewps-1.4.2-r1.apk
39.38KB
2024-10-25 23:07:05
pixiewps-doc-1.4.2-r1.apk
3.39KB
2024-10-25 23:07:05
planarity-3.0.2.0-r2.apk
8.50KB
2024-10-25 23:07:05
planarity-dev-3.0.2.0-r2.apk
19.26KB
2024-10-25 23:07:05
planarity-doc-3.0.2.0-r2.apk
12.86KB
2024-10-25 23:07:05
planarity-libs-3.0.2.0-r2.apk
60.32KB
2024-10-25 23:07:05
planner-0.14.92-r1.apk
332.47KB
2024-12-08 23:40:19
planner-doc-0.14.92-r1.apk
2.15KB
2024-12-08 23:40:19
planner-lang-0.14.92-r1.apk
824.58KB
2024-12-08 23:40:19
please-0.5.5-r0.apk
0.98MB
2024-10-25 23:07:05
please-doc-0.5.5-r0.apk
15.84KB
2024-10-25 23:07:05
plfit-1.0.1-r0.apk
37.52KB
2025-01-04 05:47:43
plfit-dev-1.0.1-r0.apk
6.48KB
2025-01-04 05:47:43
plfit-libs-1.0.1-r0.apk
27.26KB
2025-01-04 05:47:43
plfit-static-1.0.1-r0.apk
31.06KB
2025-01-04 05:47:43
plib-1.8.5-r3.apk
870.43KB
2024-10-25 23:07:05
plots-0.7.0-r1.apk
515.72KB
2024-11-06 11:42:12
plplot-5.15.0-r2.apk
31.35KB
2024-10-25 23:07:05
plplot-dev-5.15.0-r2.apk
59.00KB
2024-10-25 23:07:05
plplot-doc-5.15.0-r2.apk
310.58KB
2024-10-25 23:07:05
plplot-libs-5.15.0-r2.apk
189.59KB
2024-10-25 23:07:05
plzip-1.11-r1.apk
42.88KB
2024-10-25 23:07:05
plzip-doc-1.11-r1.apk
16.15KB
2024-10-25 23:07:05
pmccabe-2.8-r1.apk
25.30KB
2024-10-25 23:07:05
pmccabe-doc-2.8-r1.apk
7.15KB
2024-10-25 23:07:05
pnmixer-0.7.2-r3.apk
139.24KB
2024-10-25 23:07:05
pnmixer-doc-0.7.2-r3.apk
2.28KB
2024-10-25 23:07:05
pnmixer-lang-0.7.2-r3.apk
24.78KB
2024-10-25 23:07:05
poke-4.2-r0.apk
1.12MB
2024-10-25 23:07:05
poke-doc-4.2-r0.apk
200.58KB
2024-10-25 23:07:05
pokoy-0.2.5-r0.apk
8.72KB
2024-10-25 23:07:05
pokoy-doc-0.2.5-r0.apk
2.99KB
2024-10-25 23:07:05
policycoreutils-3.6-r1.apk
52.82KB
2024-10-25 23:07:05
policycoreutils-bash-completion-3.6-r1.apk
2.42KB
2024-10-25 23:07:05
policycoreutils-doc-3.6-r1.apk
22.32KB
2024-10-25 23:07:05
policycoreutils-lang-3.6-r1.apk
105.39KB
2024-10-25 23:07:05
polyglot-2.0.4-r1.apk
64.32KB
2024-10-25 23:07:05
polyglot-doc-2.0.4-r1.apk
47.57KB
2024-10-25 23:07:05
pomo-0.8.1-r18.apk
1.46MB
2024-10-25 23:07:05
pomo-doc-0.8.1-r18.apk
2.71KB
2024-10-25 23:07:05
pongoos-loader-0_git20210704-r1.apk
2.37KB
2024-10-25 23:07:05
popeye-0.21.6-r0.apk
25.56MB
2025-01-02 22:14:18
porla-0.41.0-r1.apk
3.25MB
2024-12-05 22:56:00
porla-doc-0.41.0-r1.apk
2.19KB
2024-12-05 22:56:00
porla-openrc-0.41.0-r1.apk
2.68KB
2024-12-05 22:56:00
portsmf-239-r1.apk
50.08KB
2024-10-25 23:07:06
portsmf-dev-239-r1.apk
20.28KB
2024-10-25 23:07:06
postgresql-pg_graphql-1.5.9-r0.apk
584.67KB
2024-12-25 20:53:26
postgresql-pg_later-0.0.14-r1.apk
635.02KB
2024-10-25 23:07:06
postgresql-pg_partman-5.0.0-r0.apk
970.85KB
2024-10-25 23:07:06
postgresql-pg_partman-bitcode-5.0.0-r0.apk
22.88KB
2024-10-25 23:07:06
postgresql-pg_partman-doc-5.0.0-r0.apk
47.37KB
2024-10-25 23:07:06
postgresql-pg_partman-scripts-5.0.0-r0.apk
7.68KB
2024-10-25 23:07:06
postgresql-pg_variables-1.2.5_git20230922-r0.apk
21.74KB
2024-10-25 23:07:06
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
53.60KB
2024-10-25 23:07:06
postgresql-pgmq-1.1.1-r1.apk
272.49KB
2024-10-25 23:07:06
postgresql16-wal2json-2.6-r0.apk
69.51KB
2024-10-25 23:07:06
pounce-3.1-r3.apk
28.55KB
2024-10-25 23:07:06
pounce-doc-3.1-r3.apk
8.53KB
2024-10-25 23:07:06
pounce-openrc-3.1-r3.apk
2.85KB
2024-10-25 23:07:06
powder-toy-97.0.352-r1.apk
814.48KB
2024-10-25 23:07:06
powerstat-0.04.01-r0.apk
20.27KB
2024-10-25 23:07:06
powerstat-bash-completion-0.04.01-r0.apk
2.27KB
2024-10-25 23:07:06
powerstat-doc-0.04.01-r0.apk
4.24KB
2024-10-25 23:07:06
ppl-1.2-r1.apk
35.64KB
2024-10-25 23:07:06
ppl-dev-1.2-r1.apk
609.16KB
2024-10-25 23:07:06
ppl-doc-1.2-r1.apk
9.09MB
2024-10-25 23:07:06
pptpclient-1.10.0-r5.apk
33.40KB
2024-10-25 23:07:06
pptpclient-doc-1.10.0-r5.apk
7.18KB
2024-10-25 23:07:06
pqiv-2.12-r1.apk
63.37KB
2024-10-25 23:07:06
pqiv-doc-2.12-r1.apk
12.03KB
2024-10-25 23:07:06
predict-2.3.1-r0.apk
90.69KB
2024-11-23 20:59:20
predict-doc-2.3.1-r0.apk
16.09KB
2024-11-23 20:59:20
primecount-7.14-r0.apk
28.37KB
2024-10-25 23:07:06
primecount-dev-7.14-r0.apk
1.93MB
2024-10-25 23:07:06
primecount-doc-7.14-r0.apk
3.85KB
2024-10-25 23:07:06
primecount-libs-7.14-r0.apk
123.48KB
2024-10-25 23:07:06
primesieve-12.6-r0.apk
43.06KB
2024-12-14 20:13:55
primesieve-dev-12.6-r0.apk
1.25MB
2024-12-14 20:13:55
primesieve-doc-12.6-r0.apk
4.00KB
2024-12-14 20:13:55
primesieve-libs-12.6-r0.apk
110.91KB
2024-12-14 20:13:55
prjtrellis-1.4-r2.apk
1.18MB
2024-10-25 23:07:06
prjtrellis-db-0_git20230929-r0.apk
3.28KB
2024-10-25 23:07:06
prjtrellis-db-ecp5-0_git20230929-r0.apk
2.13MB
2024-10-25 23:07:06
prjtrellis-db-machxo-0_git20230929-r0.apk
39.18KB
2024-10-25 23:07:06
prjtrellis-db-machxo2-0_git20230929-r0.apk
0.99MB
2024-10-25 23:07:06
prjtrellis-db-machxo3-0_git20230929-r0.apk
1.07MB
2024-10-25 23:07:06
prjtrellis-db-machxo3d-0_git20230929-r0.apk
747.72KB
2024-10-25 23:07:06
projectm-3.1.12-r2.apk
423.00KB
2024-10-25 23:07:06
projectm-dev-3.1.12-r2.apk
662.29KB
2024-10-25 23:07:06
projectm-presets-3.1.12-r2.apk
4.35MB
2024-10-25 23:07:06
projectm-pulseaudio-3.1.12-r2.apk
394.84KB
2024-10-25 23:07:06
projectm-pulseaudio-doc-3.1.12-r2.apk
2.00KB
2024-10-25 23:07:06
projectm-sdl-3.1.12-r2.apk
308.04KB
2024-10-25 23:07:06
projectsandcastle-loader-0_git20200307-r1.apk
5.12KB
2024-10-25 23:07:06
prometheus-bind-exporter-0.7.0-r6.apk
4.13MB
2024-10-25 23:07:06
prometheus-bind-exporter-openrc-0.7.0-r6.apk
1.90KB
2024-10-25 23:07:06
prometheus-ipmi-exporter-1.8.0-r0.apk
3.93MB
2024-10-25 23:07:07
prometheus-ipmi-exporter-doc-1.8.0-r0.apk
6.64KB
2024-10-25 23:07:07
prometheus-ipmi-exporter-openrc-1.8.0-r0.apk
1.97KB
2024-10-25 23:07:07
prometheus-opnsense-exporter-0.0.5-r0.apk
4.08MB
2024-10-25 23:07:07
prometheus-opnsense-exporter-openrc-0.0.5-r0.apk
2.12KB
2024-10-25 23:07:07
prometheus-podman-exporter-1.13.3-r0.apk
14.08MB
2024-11-21 00:26:12
prometheus-rethinkdb-exporter-1.0.1-r23.apk
3.68MB
2024-10-25 23:07:07
prometheus-rethinkdb-exporter-openrc-1.0.1-r23.apk
1.66KB
2024-10-25 23:07:07
prometheus-smartctl-exporter-0.13.0-r0.apk
4.23MB
2024-12-20 18:10:30
prometheus-smartctl-exporter-openrc-0.13.0-r0.apk
1.84KB
2024-12-20 18:10:30
prometheus-smokeping-prober-0.7.1-r7.apk
4.06MB
2024-10-25 23:07:07
prometheus-smokeping-prober-openrc-0.7.1-r7.apk
2.04KB
2024-10-25 23:07:07
prometheus-unbound-exporter-0.4.6-r0.apk
3.20MB
2024-10-25 23:07:07
prometheus-unbound-exporter-openrc-0.4.6-r0.apk
1.99KB
2024-10-25 23:07:07
proot-5.4.0-r1.apk
67.09KB
2024-10-25 23:07:07
proot-doc-5.4.0-r1.apk
10.30KB
2024-10-25 23:07:07
proot-static-5.4.0-r1.apk
105.51KB
2024-10-25 23:07:07
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2.81KB
2024-10-25 23:07:07
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
1.81KB
2024-10-25 23:07:07
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2.64KB
2024-10-25 23:07:07
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
1.76KB
2024-10-25 23:07:07
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
3.11KB
2024-10-25 23:07:07
prosody-mod-broadcast-0.11_hg20201208-r0.apk
1.85KB
2024-10-25 23:07:07
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 23:07:07
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
7.21KB
2024-10-25 23:07:07
prosody-mod-conversejs-0.11_hg20201208-r0.apk
3.27KB
2024-10-25 23:07:07
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2.83KB
2024-10-25 23:07:07
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2.89KB
2024-10-25 23:07:07
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
1.95KB
2024-10-25 23:07:07
prosody-mod-log_auth-0.11_hg20201208-r0.apk
1.77KB
2024-10-25 23:07:07
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2.18KB
2024-10-25 23:07:07
prosody-mod-mam-0.11_hg20201208-r0.apk
5.88KB
2024-10-25 23:07:07
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
5.55KB
2024-10-25 23:07:07
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
6.86KB
2024-10-25 23:07:07
prosody-mod-pastebin-0.11_hg20201208-r0.apk
3.72KB
2024-10-25 23:07:07
prosody-mod-register_json-0.11_hg20201208-r0.apk
103.50KB
2024-10-25 23:07:07
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2.71KB
2024-10-25 23:07:07
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 23:07:07
prosody-mod-require_otr-0.11_hg20201208-r0.apk
1.71KB
2024-10-25 23:07:07
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2.01KB
2024-10-25 23:07:07
prosody-mod-saslname-0.11_hg20201208-r0.apk
1.61KB
2024-10-25 23:07:07
prosody-mod-server_status-0.11_hg20201208-r0.apk
2.77KB
2024-10-25 23:07:07
prosody-mod-smacks-0.11_hg20201208-r0.apk
8.58KB
2024-10-25 23:07:07
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2.04KB
2024-10-25 23:07:07
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2.02KB
2024-10-25 23:07:07
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2.66KB
2024-10-25 23:07:07
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2.63KB
2024-10-25 23:07:07
prosody-modules-0.11_hg20201208-r0.apk
1.45KB
2024-10-25 23:07:07
protoc-gen-go-1.36.1-r0.apk
1.86MB
2025-01-02 00:26:56
protoconf-0.1.7-r8.apk
6.93MB
2024-10-25 23:07:07
psftools-1.1.2-r0.apk
197.56KB
2024-10-25 23:07:07
psftools-dev-1.1.2-r0.apk
42.17KB
2024-10-25 23:07:07
psftools-doc-1.1.2-r0.apk
59.64KB
2024-10-25 23:07:07
psi-notify-1.3.1-r0.apk
11.55KB
2024-10-25 23:07:07
psi-plus-1.5.1965-r0.apk
8.44MB
2024-10-25 23:07:07
psi-plus-plugins-1.5.1965-r0.apk
1.61MB
2024-10-25 23:07:07
pspp-2.0.1-r0.apk
9.26MB
2024-10-25 23:07:08
pspp-dbg-2.0.1-r0.apk
4.31MB
2024-10-25 23:07:08
pspp-doc-2.0.1-r0.apk
9.01KB
2024-10-25 23:07:08
psst-0_git20240526-r1.apk
7.53MB
2024-10-25 23:07:08
ptpd-2.3.1-r1.apk
184.37KB
2024-10-25 23:07:08
ptpd-doc-2.3.1-r1.apk
20.28KB
2024-10-25 23:07:08
ptpd-openrc-2.3.1-r1.apk
2.39KB
2024-10-25 23:07:08
ptylie-0.2-r1.apk
10.96KB
2024-10-25 23:07:08
ptylie-doc-0.2-r1.apk
3.16KB
2024-10-25 23:07:08
ptyxis-47.6-r0.apk
249.78KB
2024-12-07 00:37:23
ptyxis-doc-47.6-r0.apk
2.88KB
2024-12-07 00:37:23
ptyxis-lang-47.6-r0.apk
239.13KB
2024-12-07 00:37:23
pully-1.0.0-r0.apk
2.52KB
2024-10-25 23:07:08
pully-openrc-1.0.0-r0.apk
1.72KB
2024-10-25 23:07:08
pulsar-client-cpp-3.1.2-r4.apk
1.13MB
2024-10-25 23:07:08
pulsar-client-cpp-dev-3.1.2-r4.apk
54.58KB
2024-10-25 23:07:08
pulseview-0.4.2-r8.apk
869.88KB
2024-10-25 23:07:08
pulseview-doc-0.4.2-r8.apk
3.66KB
2024-10-25 23:07:08
purple-facebook-0.9.6-r0.apk
69.33KB
2024-10-25 23:07:08
purple-hangouts-0_git20200422-r0.apk
194.33KB
2024-10-25 23:07:08
pw-volume-0.5.0-r1.apk
324.50KB
2024-10-25 23:07:08
pwauth-2.3.11-r2.apk
4.16KB
2024-10-25 23:07:08
pwauth-doc-2.3.11-r2.apk
6.80KB
2024-10-25 23:07:08
pxalarm-3.0.0-r0.apk
2.88KB
2024-10-25 23:07:08
pxmenu-1.0.0-r1.apk
2.88KB
2024-10-25 23:07:08
py3-actdiag-3.0.0-r5.apk
17.27KB
2024-10-25 23:07:08
py3-actdiag-pyc-3.0.0-r5.apk
21.49KB
2024-10-25 23:07:08
py3-aesedb-0.1.6-r2.apk
37.25KB
2024-10-25 23:07:08
py3-aesedb-pyc-0.1.6-r2.apk
75.70KB
2024-10-25 23:07:08
py3-agithub-2.2.2-r6.apk
18.53KB
2024-10-25 23:07:08
py3-agithub-pyc-2.2.2-r6.apk
21.58KB
2024-10-25 23:07:08
py3-aiodocker-0.21.0-r1.apk
29.32KB
2024-10-25 23:07:08
py3-aiodocker-pyc-0.21.0-r1.apk
60.38KB
2024-10-25 23:07:08
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
445.92KB
2024-10-25 23:07:08
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
51.19KB
2024-10-25 23:07:08
py3-aiohttp-jinja2-1.6-r2.apk
12.38KB
2024-10-25 23:07:08
py3-aiohttp-jinja2-pyc-1.6-r2.apk
9.23KB
2024-10-25 23:07:08
py3-aiohttp-remotes-1.3.0-r0.apk
10.02KB
2024-11-04 14:28:06
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
18.86KB
2024-11-04 14:28:06
py3-aiohttp-session-2.12.1-r0.apk
10.43KB
2024-10-25 23:07:08
py3-aiohttp-session-pyc-2.12.1-r0.apk
14.79KB
2024-10-25 23:07:08
py3-aioopenssl-0.6.0-r4.apk
20.82KB
2024-10-25 23:07:08
py3-aioopenssl-pyc-0.6.0-r4.apk
19.13KB
2024-10-25 23:07:08
py3-aiosasl-0.5.0-r4.apk
29.58KB
2024-10-25 23:07:08
py3-aiosasl-doc-0.5.0-r4.apk
16.46KB
2024-10-25 23:07:08
py3-aiosasl-pyc-0.5.0-r4.apk
23.93KB
2024-10-25 23:07:08
py3-aiosmb-0.4.11-r0.apk
604.57KB
2024-10-25 23:07:08
py3-aiosmb-pyc-0.4.11-r0.apk
1.08MB
2024-10-25 23:07:08
py3-aiowinreg-0.0.12-r0.apk
23.10KB
2024-10-25 23:07:08
py3-aiowinreg-pyc-0.0.12-r0.apk
45.44KB
2024-10-25 23:07:08
py3-aioxmpp-0.13.3-r3.apk
387.68KB
2024-10-25 23:07:08
py3-aioxmpp-doc-0.13.3-r3.apk
18.34KB
2024-10-25 23:07:08
py3-aioxmpp-pyc-0.13.3-r3.apk
673.12KB
2024-10-25 23:07:08
py3-allfiles-1.0-r8.apk
3.57KB
2024-10-25 23:07:08
py3-allfiles-pyc-1.0-r8.apk
3.27KB
2024-10-25 23:07:08
py3-altgraph-0.17.4-r1.apk
20.73KB
2024-10-25 23:07:08
py3-altgraph-pyc-0.17.4-r1.apk
29.13KB
2024-10-25 23:07:08
py3-ansi2html-1.9.2-r0.apk
17.64KB
2024-10-25 23:07:08
py3-ansi2html-pyc-1.9.2-r0.apk
21.88KB
2024-10-25 23:07:08
py3-anyascii-0.3.2-r1.apk
274.73KB
2024-10-25 23:07:08
py3-anyascii-pyc-0.3.2-r1.apk
3.33KB
2024-10-25 23:07:08
py3-apicula-0.11.1-r1.apk
8.48MB
2024-10-25 23:07:08
py3-apicula-pyc-0.11.1-r1.apk
179.48KB
2024-10-25 23:07:08
py3-apio-0.9.5-r0.apk
72.36KB
2024-10-25 23:07:08
py3-apio-pyc-0.9.5-r0.apk
77.20KB
2024-10-25 23:07:08
py3-apsw-3.47.2.0-r0.apk
842.81KB
2024-12-16 09:26:39
py3-apsw-pyc-3.47.2.0-r0.apk
516.36KB
2024-12-16 09:26:39
py3-arcus-5.3.0-r1.apk
72.03KB
2024-10-25 23:07:08
py3-asif-0.3.2-r3.apk
13.34KB
2024-10-25 23:07:08
py3-asif-pyc-0.3.2-r3.apk
25.88KB
2024-10-25 23:07:08
py3-ask-0.0.8-r8.apk
5.00KB
2024-10-25 23:07:08
py3-ask-pyc-0.0.8-r8.apk
4.48KB
2024-10-25 23:07:08
py3-astral-3.2-r3.apk
37.03KB
2024-10-25 23:07:08
py3-astral-pyc-3.2-r3.apk
58.92KB
2024-10-25 23:07:08
py3-asyauth-0.0.21-r0.apk
79.49KB
2024-10-25 23:07:08
py3-asyauth-pyc-0.0.21-r0.apk
171.36KB
2024-10-25 23:07:08
py3-async-lru-2.0.4-r1.apk
7.29KB
2024-10-25 23:07:08
py3-async-lru-pyc-2.0.4-r1.apk
8.61KB
2024-10-25 23:07:08
py3-asysocks-0.2.13-r0.apk
86.97KB
2024-10-25 23:07:08
py3-asysocks-pyc-0.2.13-r0.apk
231.83KB
2024-10-25 23:07:08
py3-avro-1.11.3-r1.apk
97.67KB
2024-10-25 23:07:08
py3-avro-pyc-1.11.3-r1.apk
191.36KB
2024-10-25 23:07:08
py3-b2sdk-2.7.0-r0.apk
214.61KB
2024-12-20 08:00:30
py3-b2sdk-pyc-2.7.0-r0.apk
401.51KB
2024-12-20 08:00:30
py3-banal-1.0.6-r4.apk
6.88KB
2024-10-25 23:07:08
py3-banal-pyc-1.0.6-r4.apk
7.21KB
2024-10-25 23:07:08
py3-bandwidth-sdk-3.1.0-r8.apk
46.00KB
2024-10-25 23:07:08
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
69.20KB
2024-10-25 23:07:08
py3-barcodenumber-0.2.1-r10.apk
16.33KB
2024-10-25 23:07:08
py3-barcodenumber-pyc-0.2.1-r10.apk
4.26KB
2024-10-25 23:07:08
py3-base58-2.1.1-r2.apk
11.09KB
2024-10-25 23:07:08
py3-beartype-0.19.0-r0.apk
827.32KB
2024-10-25 23:07:08
py3-beartype-pyc-0.19.0-r0.apk
595.50KB
2024-10-25 23:07:08
py3-bencode-4.0.0-r1.apk
17.09KB
2024-10-25 23:07:08
py3-bencode-pyc-4.0.0-r1.apk
10.46KB
2024-10-25 23:07:08
py3-bidict-0.23.1-r1.apk
27.72KB
2024-10-25 23:07:08
py3-bidict-pyc-0.23.1-r1.apk
28.76KB
2024-10-25 23:07:08
py3-bite-parser-0.2.5-r0.apk
13.64KB
2024-10-28 23:51:26
py3-bite-parser-pyc-0.2.5-r0.apk
23.49KB
2024-10-28 23:51:26
py3-bitstruct-8.19.0-r1.apk
33.77KB
2024-10-25 23:07:08
py3-bitstruct-pyc-8.19.0-r1.apk
12.78KB
2024-10-25 23:07:08
py3-bleak-0.22.3-r0.apk
369.81KB
2024-10-25 23:07:08
py3-blockchain-1.4.4-r7.apk
10.97KB
2024-10-25 23:07:08
py3-blockchain-pyc-1.4.4-r7.apk
17.86KB
2024-10-25 23:07:08
py3-blockdiag-3.0.0-r6.apk
68.02KB
2025-01-10 14:11:14
py3-blockdiag-pyc-3.0.0-r6.apk
148.83KB
2025-01-10 14:11:14
py3-blockdiag-tests-3.0.0-r6.apk
2.51MB
2025-01-10 14:11:14
py3-bookkeeper-4.17.1-r0.apk
42.90KB
2024-10-25 23:07:08
py3-bookkeeper-pyc-4.17.1-r0.apk
66.62KB
2024-10-25 23:07:08
py3-bottle-api-0.0.4-r7.apk
4.87KB
2024-10-25 23:07:08
py3-bottle-api-pyc-0.0.4-r7.apk
5.21KB
2024-10-25 23:07:08
py3-bottle-pgsql-0.2-r5.apk
4.27KB
2024-10-25 23:07:08
py3-bottle-redis-0.2.3-r6.apk
3.30KB
2024-10-25 23:07:08
py3-bottle-redis-pyc-0.2.3-r6.apk
3.13KB
2024-10-25 23:07:08
py3-bottle-renderer-0.1.1-r9.apk
3.98KB
2024-10-25 23:07:08
py3-bottle-renderer-pyc-0.1.1-r9.apk
3.74KB
2024-10-25 23:07:08
py3-bottle-request-0.2.0-r9.apk
3.21KB
2024-10-25 23:07:08
py3-bottle-request-pyc-0.2.0-r9.apk
2.60KB
2024-10-25 23:07:08
py3-bottle-rest-0.6.0-r1.apk
6.11KB
2024-10-25 23:07:08
py3-bottle-rest-pyc-0.6.0-r1.apk
5.17KB
2024-10-25 23:07:08
py3-bottle-session-1.0-r6.apk
10.20KB
2024-10-25 23:07:08
py3-bottle-session-pyc-1.0-r6.apk
7.80KB
2024-10-25 23:07:08
py3-bottle-sqlalchemy-0.4.3-r8.apk
4.85KB
2024-10-25 23:07:08
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
5.63KB
2024-10-25 23:07:08
py3-bottle-sqlite-0.2.0-r7.apk
4.70KB
2024-10-25 23:07:08
py3-bottle-sqlite-pyc-0.2.0-r7.apk
5.24KB
2024-10-25 23:07:08
py3-bottle-websocket-0.2.9-r8.apk
4.64KB
2024-10-25 23:07:08
py3-bottle-websocket-pyc-0.2.9-r8.apk
3.14KB
2024-10-25 23:07:08
py3-bottle-werkzeug-0.1.1-r9.apk
4.09KB
2024-10-25 23:07:08
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
4.25KB
2024-10-25 23:07:08
py3-bson-0.5.10-r6.apk
11.69KB
2024-10-25 23:07:08
py3-bson-pyc-0.5.10-r6.apk
18.50KB
2024-10-25 23:07:08
py3-businesstime-0.3.0-r9.apk
10.63KB
2024-10-25 23:07:08
py3-businesstime-pyc-0.3.0-r9.apk
16.34KB
2024-10-25 23:07:08
py3-c3d-0.5.2-r1.apk
32.07KB
2024-10-25 23:07:08
py3-c3d-pyc-0.5.2-r1.apk
53.70KB
2024-10-25 23:07:08
py3-caldav-1.4.0-r0.apk
67.70KB
2024-11-09 19:44:16
py3-caldav-pyc-1.4.0-r0.apk
90.41KB
2024-11-09 19:44:16
py3-cassandra-driver-3.29.2-r0.apk
285.84KB
2024-10-25 23:07:08
py3-cassandra-driver-pyc-3.29.2-r0.apk
559.95KB
2024-10-25 23:07:08
py3-catkin-pkg-0.5.2-r4.apk
57.15KB
2024-10-25 23:07:08
py3-catkin-pkg-pyc-0.5.2-r4.apk
102.63KB
2024-10-25 23:07:08
py3-cchardet-2.1.7-r5.apk
121.29KB
2024-10-25 23:07:08
py3-cchardet-pyc-2.1.7-r5.apk
3.05KB
2024-10-25 23:07:08
py3-cdio-2.1.1-r5.apk
99.31KB
2024-10-25 23:07:08
py3-cdio-pyc-2.1.1-r5.apk
43.29KB
2024-10-25 23:07:08
py3-certauth-1.3.0-r1.apk
8.71KB
2024-10-25 23:07:08
py3-certauth-pyc-1.3.0-r1.apk
9.09KB
2024-10-25 23:07:08
py3-chameleon-4.5.4-r0.apk
97.49KB
2024-10-25 23:07:08
py3-chameleon-pyc-4.5.4-r0.apk
131.05KB
2024-10-25 23:07:08
py3-ciso8601-2.3.1-r1.apk
16.15KB
2024-10-25 23:07:08
py3-cjkwrap-2.2-r4.apk
4.61KB
2024-10-25 23:07:08
py3-cjkwrap-pyc-2.2-r4.apk
5.24KB
2024-10-25 23:07:08
py3-class-doc-1.25-r1.apk
6.06KB
2024-10-25 23:07:08
py3-class-doc-pyc-1.25-r1.apk
8.71KB
2024-10-25 23:07:08
py3-click-completion-0.5.2-r1.apk
10.79KB
2024-10-25 23:07:08
py3-click-completion-pyc-0.5.2-r1.apk
14.24KB
2024-10-25 23:07:08
py3-click-default-group-1.2.4-r1.apk
5.15KB
2024-10-25 23:07:08
py3-click-default-group-pyc-1.2.4-r1.apk
4.53KB
2024-10-25 23:07:08
py3-click-threading-0.5.0-r5.apk
6.35KB
2024-10-25 23:07:08
py3-click-threading-pyc-0.5.0-r5.apk
7.86KB
2024-10-25 23:07:08
py3-clickclick-20.10.2-r4.apk
7.95KB
2024-10-25 23:07:08
py3-clickclick-pyc-20.10.2-r4.apk
9.78KB
2024-10-25 23:07:08
py3-cmd2-2.4.3-r2.apk
139.42KB
2024-10-25 23:07:08
py3-cmd2-pyc-2.4.3-r2.apk
222.61KB
2024-10-25 23:07:08
py3-cobs-1.2.0-r4.apk
16.28KB
2024-10-25 23:07:08
py3-cobs-pyc-1.2.0-r4.apk
12.45KB
2024-10-25 23:07:08
py3-colander-2.0-r2.apk
62.47KB
2024-10-25 23:07:08
py3-colander-pyc-2.0-r2.apk
42.48KB
2024-10-25 23:07:08
py3-colored-2.2.4-r0.apk
14.96KB
2024-12-13 21:44:17
py3-colored-pyc-2.2.4-r0.apk
23.69KB
2024-12-13 21:44:17
py3-colorthief-0.2.1-r1.apk
7.31KB
2024-10-25 23:07:08
py3-colorthief-pyc-0.2.1-r1.apk
10.05KB
2024-10-25 23:07:08
py3-columnize-0.3.11-r4.apk
8.52KB
2024-10-25 23:07:08
py3-columnize-pyc-0.3.11-r4.apk
7.48KB
2024-10-25 23:07:08
py3-compdb-0.2.0-r8.apk
23.31KB
2024-10-25 23:07:08
py3-compdb-doc-0.2.0-r8.apk
3.04KB
2024-10-25 23:07:08
py3-compdb-pyc-0.2.0-r8.apk
39.63KB
2024-10-25 23:07:08
py3-cookiecutter-2.6.0-r1.apk
35.37KB
2024-10-25 23:07:08
py3-cookiecutter-doc-2.6.0-r1.apk
3.72KB
2024-10-25 23:07:08
py3-cookiecutter-pyc-2.6.0-r1.apk
47.50KB
2024-10-25 23:07:08
py3-coreapi-2.3.3-r9.apk
22.23KB
2024-10-25 23:07:08
py3-coreapi-pyc-2.3.3-r9.apk
43.27KB
2024-10-25 23:07:08
py3-crc16-0.1.1-r10.apk
11.60KB
2024-10-25 23:07:08
py3-crc16-pyc-0.1.1-r10.apk
4.71KB
2024-10-25 23:07:08
py3-createrepo_c-1.1.4-r0.apk
40.44KB
2024-10-25 23:07:08
py3-createrepo_c-pyc-1.1.4-r0.apk
14.51KB
2024-10-25 23:07:08
py3-cssutils-2.11.1-r1.apk
155.20KB
2024-10-25 23:07:08
py3-cssutils-pyc-2.11.1-r1.apk
278.65KB
2024-10-25 23:07:08
py3-cstruct-5.3-r1.apk
22.04KB
2024-10-25 23:07:08
py3-cstruct-pyc-5.3-r1.apk
36.14KB
2024-10-25 23:07:08
py3-cucumber-tag-expressions-6.1.1-r0.apk
8.67KB
2024-12-01 22:13:53
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
10.42KB
2024-12-01 22:13:53
py3-cvxpy-1.2.1-r5.apk
644.81KB
2024-10-25 23:07:08
py3-cvxpy-pyc-1.2.1-r5.apk
936.08KB
2024-10-25 23:07:08
py3-cython-test-exception-raiser-1.0.2-r0.apk
16.84KB
2024-10-25 23:07:08
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
1.83KB
2024-10-25 23:07:08
py3-dataclasses-json-0.6.7-r0.apk
27.41KB
2024-10-25 23:07:08
py3-dataclasses-json-pyc-0.6.7-r0.apk
35.66KB
2024-10-25 23:07:08
py3-dataclasses-serialization-1.3.1-r3.apk
10.96KB
2024-10-25 23:07:08
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
14.27KB
2024-10-25 23:07:08
py3-dateparser-1.2.0-r0.apk
196.62KB
2024-11-23 06:07:50
py3-dateparser-pyc-1.2.0-r0.apk
333.74KB
2024-11-23 06:07:50
py3-daterangestr-0.0.3-r8.apk
4.35KB
2024-10-25 23:07:08
py3-daterangestr-pyc-0.0.3-r8.apk
4.22KB
2024-10-25 23:07:08
py3-dbus-fast-2.24.4-r0.apk
595.25KB
2024-11-20 00:34:17
py3-dbus-fast-doc-2.24.4-r0.apk
5.37KB
2024-11-20 00:34:17
py3-dbus-fast-pyc-2.24.4-r0.apk
125.64KB
2024-11-20 00:34:17
py3-deluge-client-1.10.2-r0.apk
12.89KB
2024-10-25 23:07:08
py3-deluge-client-doc-1.10.2-r0.apk
2.25KB
2024-10-25 23:07:08
py3-deluge-client-pyc-1.10.2-r0.apk
19.65KB
2024-10-25 23:07:08
py3-dep-logic-0.4.10-r0.apk
27.82KB
2024-12-16 00:01:33
py3-dep-logic-pyc-0.4.10-r0.apk
53.15KB
2024-12-16 00:01:33
py3-dexml-0.5.1-r9.apk
22.21KB
2024-10-25 23:07:08
py3-dexml-pyc-0.5.1-r9.apk
37.49KB
2024-10-25 23:07:08
py3-discid-1.2.0-r6.apk
23.81KB
2024-10-25 23:07:08
py3-discid-pyc-1.2.0-r6.apk
13.07KB
2024-10-25 23:07:08
py3-distorm3-3.5.2-r6.apk
47.40KB
2024-10-25 23:07:08
py3-distorm3-pyc-3.5.2-r6.apk
48.65KB
2024-10-25 23:07:08
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
14.65KB
2024-10-25 23:07:08
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
14.91KB
2024-10-25 23:07:08
py3-django-suit-0.2.28-r8.apk
365.77KB
2024-10-25 23:07:08
py3-django-suit-pyc-0.2.28-r8.apk
32.50KB
2024-10-25 23:07:08
py3-django-taggit-serializer-0.1.7-r8.apk
4.02KB
2024-10-25 23:07:08
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
4.96KB
2024-10-25 23:07:08
py3-dnslib-0.9.25-r0.apk
51.75KB
2024-10-25 23:07:08
py3-dnslib-pyc-0.9.25-r0.apk
108.86KB
2024-10-25 23:07:08
py3-dogpile.cache-1.3.3-r0.apk
52.73KB
2024-10-25 23:07:08
py3-dogpile.cache-pyc-1.3.3-r0.apk
90.45KB
2024-10-25 23:07:08
py3-doit-0.36.0-r5.apk
76.50KB
2024-10-25 23:07:08
py3-doit-pyc-0.36.0-r5.apk
133.25KB
2024-10-25 23:07:08
py3-dominate-2.9.1-r1.apk
24.75KB
2024-10-25 23:07:08
py3-dominate-pyc-2.9.1-r1.apk
33.73KB
2024-10-25 23:07:08
py3-dotty-dict-1.3.1-r4.apk
8.40KB
2024-10-25 23:07:08
py3-dotty-dict-pyc-1.3.1-r4.apk
8.68KB
2024-10-25 23:07:08
py3-downloader-cli-0.3.4-r1.apk
11.50KB
2024-10-25 23:07:08
py3-downloader-cli-pyc-0.3.4-r1.apk
14.26KB
2024-10-25 23:07:08
py3-dpath-2.2.0-r0.apk
17.03KB
2024-10-25 23:07:08
py3-dpath-pyc-2.2.0-r0.apk
17.77KB
2024-10-25 23:07:08
py3-drf-yasg-1.21.7-r2.apk
4.05MB
2024-10-25 23:07:08
py3-drf-yasg-pyc-1.21.7-r2.apk
97.35KB
2024-10-25 23:07:08
py3-dt-schema-2024.11-r0.apk
77.87KB
2024-11-11 20:06:09
py3-dt-schema-pyc-2024.11-r0.apk
50.09KB
2024-11-11 20:06:09
py3-dunamai-1.23.0-r0.apk
26.19KB
2024-12-09 23:55:19
py3-dunamai-pyc-1.23.0-r0.apk
42.84KB
2024-12-09 23:55:19
py3-duniterpy-1.1.1-r3.apk
221.32KB
2024-10-25 23:07:08
py3-dweepy-0.3.0-r7.apk
9.08KB
2024-10-25 23:07:08
py3-dweepy-pyc-0.3.0-r7.apk
6.26KB
2024-10-25 23:07:08
py3-ecos-2.0.11-r4.apk
27.30KB
2024-10-25 23:07:08
py3-ecos-pyc-2.0.11-r4.apk
3.63KB
2024-10-25 23:07:08
py3-edalize-0.5.4-r0.apk
122.60KB
2024-10-25 23:07:08
py3-edalize-pyc-0.5.4-r0.apk
190.17KB
2024-10-25 23:07:08
py3-editdistance-s-1.0.0-r6.apk
15.02KB
2024-10-25 23:07:08
py3-editdistance-s-pyc-1.0.0-r6.apk
2.03KB
2024-10-25 23:07:08
py3-empy-3.3.4-r7.apk
39.32KB
2024-10-25 23:07:08
py3-empy-pyc-3.3.4-r7.apk
58.49KB
2024-10-25 23:07:08
py3-enzyme-0.5.1-r0.apk
23.16KB
2024-10-25 23:07:08
py3-enzyme-pyc-0.5.1-r0.apk
18.92KB
2024-10-25 23:07:08
py3-eradicate-2.3.0-r2.apk
7.57KB
2024-10-25 23:07:08
py3-eradicate-doc-2.3.0-r2.apk
2.52KB
2024-10-25 23:07:08
py3-eradicate-pyc-2.3.0-r2.apk
8.35KB
2024-10-25 23:07:08
py3-euclid3-0.01-r8.apk
14.17KB
2024-10-25 23:07:08
py3-euclid3-pyc-0.01-r8.apk
32.56KB
2024-10-25 23:07:08
py3-eventlet-0.38.1-r0.apk
332.21KB
2024-12-11 23:35:22
py3-eventlet-pyc-0.38.1-r0.apk
335.64KB
2024-12-11 23:35:22
py3-evohome-client-0.3.7-r4.apk
18.95KB
2024-10-25 23:07:08
py3-evohome-client-pyc-0.3.7-r4.apk
27.04KB
2024-10-25 23:07:08
py3-fastdiff-0.3.0-r5.apk
38.44KB
2024-10-25 23:07:08
py3-fastdiff-pyc-0.3.0-r5.apk
4.20KB
2024-10-25 23:07:08
py3-feedgen-1.0.0-r1.apk
40.23KB
2024-10-25 23:07:08
py3-feedgen-pyc-1.0.0-r1.apk
61.69KB
2024-10-25 23:07:08
py3-feedgenerator-2.1.0-r2.apk
18.02KB
2024-10-25 23:07:08
py3-feedgenerator-pyc-2.1.0-r2.apk
26.75KB
2024-10-25 23:07:08
py3-ffmpeg-0.2.0-r4.apk
24.02KB
2024-10-25 23:07:08
py3-ffmpeg-pyc-0.2.0-r4.apk
32.77KB
2024-10-25 23:07:08
py3-findpython-0.6.2-r0.apk
17.26KB
2024-10-25 23:07:08
py3-findpython-pyc-0.6.2-r0.apk
29.85KB
2024-10-25 23:07:08
py3-firmata-1.0.3-r10.apk
14.18KB
2024-10-25 23:07:08
py3-firmata-pyc-1.0.3-r10.apk
20.88KB
2024-10-25 23:07:08
py3-flake8-blind-except-0.2.1-r4.apk
5.20KB
2024-10-25 23:07:08
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2.59KB
2024-10-25 23:07:08
py3-flake8-builtins-2.5.0-r0.apk
12.73KB
2024-12-07 23:51:32
py3-flake8-builtins-pyc-2.5.0-r0.apk
7.87KB
2024-12-07 23:51:32
py3-flake8-copyright-0.2.4-r3.apk
18.25KB
2024-10-25 23:07:08
py3-flake8-copyright-pyc-0.2.4-r3.apk
3.33KB
2024-10-25 23:07:08
py3-flake8-debugger-4.1.2-r4.apk
6.24KB
2024-10-25 23:07:08
py3-flake8-debugger-pyc-4.1.2-r4.apk
5.96KB
2024-10-25 23:07:08
py3-flake8-import-order-0.18.2-r4.apk
15.41KB
2024-10-25 23:07:08
py3-flake8-import-order-pyc-0.18.2-r4.apk
16.77KB
2024-10-25 23:07:08
py3-flake8-isort-6.1.1-r1.apk
18.19KB
2024-10-25 23:07:08
py3-flake8-isort-pyc-6.1.1-r1.apk
5.37KB
2024-10-25 23:07:08
py3-flake8-polyfill-1.0.2-r5.apk
7.07KB
2024-10-25 23:07:08
py3-flake8-polyfill-pyc-1.0.2-r5.apk
5.69KB
2024-10-25 23:07:08
py3-flake8-print-5.0.0-r5.apk
6.72KB
2024-10-25 23:07:08
py3-flake8-print-pyc-5.0.0-r5.apk
4.41KB
2024-10-25 23:07:08
py3-flake8-snippets-0.2-r8.apk
5.32KB
2024-10-25 23:07:08
py3-flake8-snippets-pyc-0.2-r8.apk
3.67KB
2024-10-25 23:07:08
py3-flake8-todo-0.7-r7.apk
3.59KB
2024-10-25 23:07:08
py3-flake8-todo-pyc-0.7-r7.apk
2.23KB
2024-10-25 23:07:08
py3-flask-accept-0.0.6-r1.apk
4.99KB
2024-10-25 23:07:08
py3-flask-accept-pyc-0.0.6-r1.apk
3.70KB
2024-10-25 23:07:08
py3-flask-admin-1.6.1-r3.apk
6.52MB
2024-10-25 23:07:08
py3-flask-admin-pyc-1.6.1-r3.apk
358.29KB
2024-10-25 23:07:08
py3-flask-autorouter-0.2.2-r3.apk
5.08KB
2024-10-25 23:07:08
py3-flask-autorouter-pyc-0.2.2-r3.apk
4.95KB
2024-10-25 23:07:08
py3-flask-basicauth-0.2.0-r9.apk
5.34KB
2024-10-25 23:07:08
py3-flask-basicauth-pyc-0.2.0-r9.apk
4.07KB
2024-10-25 23:07:08
py3-flask-bcrypt-1.0.1-r5.apk
7.14KB
2024-10-25 23:07:08
py3-flask-bcrypt-pyc-1.0.1-r5.apk
5.80KB
2024-10-25 23:07:08
py3-flask-bootstrap-3.3.7.1-r8.apk
449.77KB
2024-10-25 23:07:08
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk
10.93KB
2024-10-25 23:07:08
py3-flask-cache-0.13.1-r9.apk
12.77KB
2024-10-25 23:07:08
py3-flask-cache-pyc-0.13.1-r9.apk
18.28KB
2024-10-25 23:07:08
py3-flask-cdn-1.5.3-r8.apk
4.76KB
2024-10-25 23:07:08
py3-flask-cdn-pyc-1.5.3-r8.apk
4.08KB
2024-10-25 23:07:08
py3-flask-components-0.1.1-r9.apk
3.93KB
2024-10-25 23:07:08
py3-flask-components-pyc-0.1.1-r9.apk
3.30KB
2024-10-25 23:07:08
py3-flask-dbconfig-0.3.12-r8.apk
85.69KB
2024-10-25 23:07:08
py3-flask-dbconfig-pyc-0.3.12-r8.apk
6.25KB
2024-10-25 23:07:08
py3-flask-flatpages-0.8.3-r0.apk
10.70KB
2024-12-07 00:57:24
py3-flask-flatpages-pyc-0.8.3-r0.apk
13.65KB
2024-12-07 00:57:24
py3-flask-gzip-0.2-r8.apk
3.18KB
2024-10-25 23:07:08
py3-flask-gzip-pyc-0.2-r8.apk
2.83KB
2024-10-25 23:07:08
py3-flask-headers-1.0-r9.apk
3.20KB
2024-10-25 23:07:08
py3-flask-headers-pyc-1.0-r9.apk
2.45KB
2024-10-25 23:07:08
py3-flask-httpauth-4.8.0-r2.apk
7.98KB
2024-10-25 23:07:08
py3-flask-httpauth-pyc-4.8.0-r2.apk
10.59KB
2024-10-25 23:07:08
py3-flask-json-schema-0.0.5-r4.apk
4.10KB
2024-10-25 23:07:08
py3-flask-json-schema-pyc-0.0.5-r4.apk
3.44KB
2024-10-25 23:07:08
py3-flask-limiter-3.9.2-r0.apk
26.64KB
2024-11-28 00:57:50
py3-flask-limiter-pyc-3.9.2-r0.apk
47.13KB
2024-11-28 00:57:50
py3-flask-loopback-1.4.7-r7.apk
5.57KB
2024-10-25 23:07:08
py3-flask-loopback-pyc-1.4.7-r7.apk
7.92KB
2024-10-25 23:07:08
py3-flask-mailman-1.1.1-r0.apk
16.47KB
2024-10-25 23:07:08
py3-flask-mailman-pyc-1.1.1-r0.apk
25.74KB
2024-10-25 23:07:08
py3-flask-markdown-0.3-r8.apk
5.61KB
2024-10-25 23:07:08
py3-flask-markdown-pyc-0.3-r8.apk
3.86KB
2024-10-25 23:07:08
py3-flask-migrate-4.0.7-r0.apk
13.09KB
2024-10-25 23:07:08
py3-flask-migrate-pyc-4.0.7-r0.apk
18.13KB
2024-10-25 23:07:08
py3-flask-paginate-0.8.1-r6.apk
8.21KB
2024-10-25 23:07:08
py3-flask-paginate-pyc-0.8.1-r6.apk
11.19KB
2024-10-25 23:07:08
py3-flask-peewee-3.0.6-r0.apk
172.04KB
2024-10-25 23:07:08
py3-flask-peewee-pyc-3.0.6-r0.apk
95.47KB
2024-10-25 23:07:08
py3-flask-qrcode-3.2.0-r0.apk
18.03KB
2024-12-12 08:32:04
py3-flask-qrcode-pyc-3.2.0-r0.apk
6.15KB
2024-12-12 08:32:04
py3-flask-restaction-0.25.3-r8.apk
114.85KB
2024-10-25 23:07:08
py3-flask-restaction-pyc-0.25.3-r8.apk
19.81KB
2024-10-25 23:07:08
py3-flask-restless-0.17.0-r9.apk
40.48KB
2024-10-25 23:07:08
py3-flask-restless-pyc-0.17.0-r9.apk
59.06KB
2024-10-25 23:07:08
py3-flask-security-5.4.3-r2.apk
267.03KB
2024-10-25 23:07:09
py3-flask-security-pyc-5.4.3-r2.apk
210.67KB
2024-10-25 23:07:09
py3-flask-themer-2.0.0-r2.apk
7.89KB
2024-10-25 23:07:09
py3-flask-themer-pyc-2.0.0-r2.apk
6.97KB
2024-10-25 23:07:09
py3-forbiddenfruit-0.1.4-r2.apk
8.96KB
2024-10-25 23:07:09
py3-forbiddenfruit-pyc-0.1.4-r2.apk
9.66KB
2024-10-25 23:07:09
py3-fpdf-1.7.2-r5.apk
39.72KB
2024-10-25 23:07:09
py3-fpdf-pyc-1.7.2-r5.apk
89.18KB
2024-10-25 23:07:09
py3-freetype-py-2.5.1-r0.apk
160.94KB
2024-10-25 23:07:09
py3-funcparserlib-1.0.1-r4.apk
16.62KB
2024-10-25 23:07:09
py3-funcparserlib-pyc-1.0.1-r4.apk
18.67KB
2024-10-25 23:07:09
py3-furl-2.1.3-r4.apk
21.38KB
2024-10-25 23:07:09
py3-furl-pyc-2.1.3-r4.apk
32.35KB
2024-10-25 23:07:09
py3-fuzzywuzzy-0.18.0-r7.apk
18.44KB
2024-10-25 23:07:09
py3-fuzzywuzzy-pyc-0.18.0-r7.apk
14.68KB
2024-10-25 23:07:09
py3-geoip-1.3.2-r4.apk
21.91KB
2024-10-25 23:07:09
py3-gevent-websocket-0.10.1-r8.apk
19.74KB
2024-10-25 23:07:09
py3-gevent-websocket-pyc-0.10.1-r8.apk
30.36KB
2024-10-25 23:07:09
py3-git-versioner-7.1-r1.apk
11.96KB
2024-10-25 23:07:09
py3-git-versioner-pyc-7.1-r1.apk
13.51KB
2024-10-25 23:07:09
py3-github3-4.0.1-r1.apk
128.26KB
2024-10-25 23:07:09
py3-github3-pyc-4.0.1-r1.apk
226.98KB
2024-10-25 23:07:09
py3-glob2-0.7-r6.apk
10.27KB
2024-10-25 23:07:09
py3-glob2-pyc-0.7-r6.apk
12.91KB
2024-10-25 23:07:09
py3-gls-1.3.1-r1.apk
46.77KB
2024-10-25 23:07:09
py3-gls-pyc-1.3.1-r1.apk
84.13KB
2024-10-25 23:07:09
py3-gnucash-5.10-r0.apk
306.50KB
2024-12-27 13:35:23
py3-google-trans-new-1.1.9-r2.apk
9.23KB
2024-10-25 23:07:09
py3-google-trans-new-pyc-1.1.9-r2.apk
10.58KB
2024-10-25 23:07:09
py3-grequests-0.7.0-r2.apk
6.85KB
2024-10-25 23:07:09
py3-grequests-pyc-0.7.0-r2.apk
5.86KB
2024-10-25 23:07:09
py3-gtkspellcheck-5.0.3-r0.apk
44.71KB
2024-12-07 22:32:49
py3-gtkspellcheck-pyc-5.0.3-r0.apk
29.56KB
2024-12-07 22:32:49
py3-halo-0.0.31-r5.apk
11.46KB
2024-10-25 23:07:09
py3-halo-pyc-0.0.31-r5.apk
13.92KB
2024-10-25 23:07:09
py3-hatch-openzim-0.2.0-r0.apk
24.51KB
2024-10-25 23:07:09
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
24.18KB
2024-10-25 23:07:09
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
12.69KB
2024-10-25 23:07:09
py3-hatch-openzim-pyc-0.2.0-r0.apk
12.99KB
2024-10-25 23:07:09
py3-helper-2.5.0-r5.apk
18.77KB
2024-10-25 23:07:09
py3-helper-pyc-2.5.0-r5.apk
28.17KB
2024-10-25 23:07:09
py3-hfst-3.16.0-r2.apk
356.58KB
2024-10-25 23:07:09
py3-hg-git-1.1.1-r1.apk
70.10KB
2024-10-25 23:07:09
py3-hg-git-pyc-1.1.1-r1.apk
106.79KB
2024-10-25 23:07:09
py3-hishel-0.0.33-r0.apk
33.75KB
2024-10-25 23:07:09
py3-hishel-pyc-0.0.33-r0.apk
73.01KB
2024-10-25 23:07:09
py3-html5-parser-0.4.12-r1.apk
162.47KB
2024-10-25 23:07:09
py3-html5-parser-pyc-0.4.12-r1.apk
22.27KB
2024-10-25 23:07:09
py3-hurry.filesize-0.9-r8.apk
4.61KB
2024-10-25 23:07:09
py3-hurry.filesize-pyc-0.9-r8.apk
3.26KB
2024-10-25 23:07:09
py3-igraph-0.11.8-r0.apk
401.62KB
2024-11-23 19:32:41
py3-igraph-dev-0.11.8-r0.apk
2.54KB
2024-11-23 19:32:41
py3-igraph-pyc-0.11.8-r0.apk
370.76KB
2024-11-23 19:32:41
py3-imageio-2.35.1-r0.apk
287.47KB
2024-10-25 23:07:09
py3-imageio-ffmpeg-0.4.9-r1.apk
16.49KB
2024-10-25 23:07:09
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
20.26KB
2024-10-25 23:07:09
py3-imageio-pyc-2.35.1-r0.apk
504.43KB
2024-10-25 23:07:09
py3-imdbpy-2021.4.18-r5.apk
229.00KB
2024-10-25 23:07:09
py3-imdbpy-pyc-2021.4.18-r5.apk
242.58KB
2024-10-25 23:07:09
py3-incoming-0.3.1-r8.apk
12.83KB
2024-10-25 23:07:09
py3-incoming-pyc-0.3.1-r8.apk
19.95KB
2024-10-25 23:07:09
py3-infinity-1.5-r6.apk
4.38KB
2024-10-25 23:07:09
py3-infinity-pyc-1.5-r6.apk
3.74KB
2024-10-25 23:07:09
py3-iniparse-0.5-r7.apk
18.69KB
2024-10-25 23:07:09
py3-iniparse-doc-0.5-r7.apk
10.34KB
2024-10-25 23:07:09
py3-iniparse-pyc-0.5-r7.apk
24.62KB
2024-10-25 23:07:09
py3-intervals-0.9.2-r5.apk
9.45KB
2024-10-25 23:07:09
py3-intervals-pyc-0.9.2-r5.apk
15.16KB
2024-10-25 23:07:09
py3-irc-20.4.1-r0.apk
40.90KB
2024-10-25 23:07:09
py3-irc-pyc-20.4.1-r0.apk
70.99KB
2024-10-25 23:07:09
py3-iso639-lang-2.2.3-r0.apk
268.98KB
2024-10-25 23:07:09
py3-iso639-lang-pyc-2.2.3-r0.apk
9.70KB
2024-10-25 23:07:09
py3-itemadapter-0.10.0-r0.apk
11.25KB
2024-11-30 22:42:18
py3-itemadapter-pyc-0.10.0-r0.apk
12.67KB
2024-11-30 22:42:18
py3-itemloaders-1.3.2-r0.apk
12.51KB
2024-10-25 23:07:09
py3-itemloaders-pyc-1.3.2-r0.apk
16.56KB
2024-10-25 23:07:09
py3-iterable-io-1.0.0-r0.apk
6.04KB
2024-10-25 23:07:09
py3-iterable-io-pyc-1.0.0-r0.apk
5.25KB
2024-10-25 23:07:09
py3-itunespy-1.6-r4.apk
10.02KB
2024-10-25 23:07:09
py3-itunespy-pyc-1.6-r4.apk
14.77KB
2024-10-25 23:07:09
py3-janus-1.2.0-r0.apk
12.36KB
2024-12-13 06:57:45
py3-janus-pyc-1.2.0-r0.apk
13.34KB
2024-12-13 06:57:45
py3-jaraco.logging-3.3.0-r0.apk
6.02KB
2024-10-25 23:07:09
py3-jaraco.logging-pyc-3.3.0-r0.apk
5.84KB
2024-10-25 23:07:09
py3-jaraco.path-3.7.2-r0.apk
7.62KB
2024-10-25 23:07:09
py3-jaraco.path-pyc-3.7.2-r0.apk
9.52KB
2024-10-25 23:07:09
py3-jaraco.stream-3.0.4-r0.apk
6.71KB
2024-12-15 00:49:57
py3-jaraco.stream-pyc-3.0.4-r0.apk
8.08KB
2024-12-15 00:49:57
py3-jaraco.vcs-2.4.0-r0.apk
9.78KB
2024-10-25 23:07:09
py3-jaraco.vcs-pyc-2.4.0-r0.apk
15.13KB
2024-10-25 23:07:09
py3-jaraco.versioning-1.1.0-r0.apk
5.91KB
2024-10-25 23:07:09
py3-jaraco.versioning-pyc-1.1.0-r0.apk
6.10KB
2024-10-25 23:07:09
py3-json5-0.9.25-r0.apk
24.98KB
2024-11-30 22:49:48
py3-json5-pyc-0.9.25-r0.apk
29.37KB
2024-11-30 22:49:48
py3-jsonschema417-4.17.3-r1.apk
73.32KB
2024-10-25 23:07:09
py3-jsonschema417-pyc-4.17.3-r1.apk
125.24KB
2024-10-25 23:07:09
py3-junit-xml-1.9-r3.apk
8.34KB
2024-10-25 23:07:09
py3-junit-xml-pyc-1.9-r3.apk
9.32KB
2024-10-25 23:07:09
py3-kazoo-0_git20211202-r4.apk
125.03KB
2024-10-25 23:07:09
py3-kazoo-pyc-0_git20211202-r4.apk
244.50KB
2024-10-25 23:07:09
py3-keepalive-0.5-r5.apk
8.97KB
2024-10-25 23:07:09
py3-keepalive-doc-0.5-r5.apk
1.99KB
2024-10-25 23:07:09
py3-keepalive-pyc-0.5-r5.apk
13.05KB
2024-10-25 23:07:09
py3-kerberos-1.3.1-r5.apk
16.65KB
2024-10-25 23:07:09
py3-landlock-1.0.0_pre4-r2.apk
8.41KB
2024-10-25 23:07:09
py3-landlock-pyc-1.0.0_pre4-r2.apk
9.52KB
2024-10-25 23:07:09
py3-langcodes-3.3.0-r2.apk
173.54KB
2024-10-25 23:07:09
py3-langcodes-pyc-3.3.0-r2.apk
109.73KB
2024-10-25 23:07:09
py3-language-data-1.3.0-r0.apk
4.95MB
2024-12-01 22:08:09
py3-language-data-pyc-1.3.0-r0.apk
2.96MB
2024-12-01 22:08:09
py3-latex2mathml-3.77.0-r1.apk
72.27KB
2024-10-25 23:07:09
py3-latex2mathml-pyc-3.77.0-r1.apk
34.94KB
2024-10-25 23:07:09
py3-levenshtein-0.26.1-r0.apk
178.18KB
2024-12-19 07:14:57
py3-levenshtein-pyc-0.26.1-r0.apk
9.34KB
2024-12-19 07:14:57
py3-lib_users-0.15-r4.apk
15.62KB
2024-10-25 23:07:09
py3-lib_users-pyc-0.15-r4.apk
9.51KB
2024-10-25 23:07:09
py3-libacl-0.7.0-r2.apk
25.08KB
2024-10-25 23:07:09
py3-libcec-rpi-6.0.2-r4.apk
104.98KB
2024-10-25 23:07:09
py3-libguestfs-1.52.0-r1.apk
176.65KB
2024-10-25 23:07:09
py3-libiio-0.25-r2.apk
12.57KB
2024-10-25 23:07:09
py3-liblarch-3.2.0-r6.apk
29.51KB
2024-12-08 23:40:19
py3-liblarch-pyc-3.2.0-r6.apk
49.61KB
2024-12-08 23:40:19
py3-libmdbx-0.10.2-r7.apk
27.89KB
2024-10-25 23:07:09
py3-libmdbx-pyc-0.10.2-r7.apk
32.82KB
2024-10-25 23:07:09
py3-libnacl-2.1.0-r1.apk
20.31KB
2024-10-25 23:07:09
py3-libnacl-pyc-2.1.0-r1.apk
30.35KB
2024-10-25 23:07:09
py3-librtmp-0.3.0-r6.apk
33.30KB
2024-10-25 23:07:09
py3-librtmp-pyc-0.3.0-r6.apk
24.57KB
2024-10-25 23:07:09
py3-limits-3.14.1-r0.apk
33.50KB
2024-12-25 20:53:26
py3-limits-pyc-3.14.1-r0.apk
71.44KB
2024-12-25 20:53:26
py3-linkify-it-py-2.0.3-r1.apk
21.33KB
2024-10-25 23:07:09
py3-linkify-it-py-pyc-2.0.3-r1.apk
23.42KB
2024-10-25 23:07:09
py3-litex-hub-modules-2024.04-r0.apk
1.62KB
2024-10-25 23:07:09
py3-litex-hub-modules-pyc-2024.04-r0.apk
1.11MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
5.55MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
1.93MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
934.13KB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
500.02KB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
10.15MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
1.80MB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
112.11KB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
207.86KB
2024-10-25 23:07:09
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
19.46MB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
44.83KB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
221.40KB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
7.56KB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
230.29KB
2024-10-25 23:07:10
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
56.79MB
2024-10-25 23:07:11
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
675.21KB
2024-10-25 23:07:11
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
712.64KB
2024-10-25 23:07:11
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2.40MB
2024-10-25 23:07:11
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
57.95KB
2024-10-25 23:07:11
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2.20MB
2024-10-25 23:07:11
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
4.69MB
2024-10-25 23:07:11
py3-litex-hub-valentyusb-2024.04-r0.apk
111.52KB
2024-10-25 23:07:11
py3-livestream-2.1.0-r0.apk
766.24KB
2024-11-26 00:22:43
py3-livestream-pyc-2.1.0-r0.apk
29.89KB
2024-11-26 00:22:43
py3-log-symbols-0.0.14-r5.apk
4.21KB
2024-10-25 23:07:11
py3-log-symbols-pyc-0.0.14-r5.apk
3.07KB
2024-10-25 23:07:11
py3-logfury-1.0.1-r0.apk
7.86KB
2024-10-25 23:07:11
py3-logfury-doc-1.0.1-r0.apk
2.38KB
2024-10-25 23:07:11
py3-logfury-pyc-1.0.1-r0.apk
7.10KB
2024-10-25 23:07:11
py3-logtop-0.7-r0.apk
18.85KB
2024-10-25 23:07:11
py3-logtop-pyc-0.7-r0.apk
4.06KB
2024-10-25 23:07:11
py3-lsprotocol-2023.0.1-r1.apk
69.49KB
2024-10-25 23:07:11
py3-lsprotocol-pyc-2023.0.1-r1.apk
107.11KB
2024-10-25 23:07:11
py3-luhn-0.2.0-r9.apk
3.98KB
2024-10-25 23:07:11
py3-luhn-pyc-0.2.0-r9.apk
2.63KB
2024-10-25 23:07:11
py3-lunr-0.6.2-r4.apk
32.49KB
2024-10-25 23:07:11
py3-lunr-pyc-0.6.2-r4.apk
50.62KB
2024-10-25 23:07:11
py3-ly-0.9.8-r1.apk
187.23KB
2024-10-25 23:07:11
py3-ly-doc-0.9.8-r1.apk
8.09KB
2024-10-25 23:07:11
py3-ly-pyc-0.9.8-r1.apk
355.09KB
2024-10-25 23:07:11
py3-lzo-1.16-r1.apk
16.42KB
2024-10-25 23:07:11
py3-lzo-pyc-1.16-r1.apk
1.97KB
2024-10-25 23:07:11
py3-m2crypto-0.41.0-r2.apk
191.47KB
2024-10-25 23:07:11
py3-m2crypto-pyc-0.41.0-r2.apk
122.61KB
2024-10-25 23:07:11
py3-mando-0.7.1-r3.apk
22.14KB
2024-10-25 23:07:11
py3-mando-doc-0.7.1-r3.apk
4.20KB
2024-10-25 23:07:11
py3-mando-pyc-0.7.1-r3.apk
35.97KB
2024-10-25 23:07:11
py3-manuel-1.13.0-r0.apk
39.11KB
2024-11-30 22:13:57
py3-manuel-pyc-1.13.0-r0.apk
25.61KB
2024-11-30 22:13:57
py3-mapbox-earcut-1.0.1-r2.apk
57.74KB
2024-10-25 23:07:11
py3-marisa-trie-1.2.1-r0.apk
137.92KB
2024-11-11 15:12:44
py3-markdown2-2.5.0-r0.apk
47.22KB
2024-10-25 23:07:11
py3-markdown2-pyc-2.5.0-r0.apk
75.49KB
2024-10-25 23:07:11
py3-marshmallow-3.25.1-r0.apk
46.43KB
2025-01-12 20:51:59
py3-marshmallow-enum-1.5.1-r7.apk
5.29KB
2024-10-25 23:07:11
py3-marshmallow-enum-pyc-1.5.1-r7.apk
4.45KB
2024-10-25 23:07:11
py3-marshmallow-pyc-3.25.1-r0.apk
83.66KB
2025-01-12 20:51:59
py3-mbedtls-2.10.1-r2.apk
903.85KB
2024-10-25 23:07:11
py3-mbedtls-pyc-2.10.1-r2.apk
27.36KB
2024-10-25 23:07:11
py3-migen-0.9.2-r2.apk
142.61KB
2024-10-25 23:07:11
py3-migen-pyc-0.9.2-r2.apk
295.65KB
2024-10-25 23:07:11
py3-milc-1.9.0-r0.apk
26.40KB
2024-10-25 23:07:11
py3-milc-pyc-1.9.0-r0.apk
41.81KB
2024-10-25 23:07:11
py3-minidb-2.0.8-r0.apk
10.05KB
2024-11-13 20:52:23
py3-minidb-pyc-2.0.8-r0.apk
23.43KB
2024-11-13 20:52:23
py3-minidump-0.0.24-r0.apk
65.34KB
2024-10-25 23:07:11
py3-minidump-pyc-0.0.24-r0.apk
130.33KB
2024-10-25 23:07:11
py3-minikerberos-0.4.4-r1.apk
128.65KB
2024-10-25 23:07:11
py3-minikerberos-pyc-0.4.4-r1.apk
263.57KB
2024-10-25 23:07:11
py3-minio-7.2.13-r0.apk
76.39KB
2024-12-25 20:53:26
py3-minio-pyc-7.2.13-r0.apk
160.39KB
2024-12-25 20:53:26
py3-mistletoe-1.2.1-r2.apk
43.79KB
2024-10-25 23:07:11
py3-mistletoe-pyc-1.2.1-r2.apk
91.57KB
2024-10-25 23:07:11
py3-mnemonic-0.21-r0.apk
95.04KB
2024-10-25 23:07:11
py3-mnemonic-doc-0.21-r0.apk
2.40KB
2024-10-25 23:07:11
py3-mnemonic-pyc-0.21-r0.apk
9.69KB
2024-10-25 23:07:11
py3-modbus-tk-1.1.1-r4.apk
24.77KB
2024-10-25 23:07:11
py3-modbus-tk-pyc-1.1.1-r4.apk
48.49KB
2024-10-25 23:07:11
py3-mopidy-jellyfin-1.0.4-r4.apk
24.54KB
2024-10-25 23:07:11
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
36.65KB
2024-10-25 23:07:11
py3-mopidy-local-3.3.0-r0.apk
27.77KB
2025-01-02 00:26:56
py3-mopidy-local-pyc-3.3.0-r0.apk
34.10KB
2025-01-02 00:26:56
py3-mopidy-mpd-3.3.0-r4.apk
46.01KB
2024-10-25 23:07:11
py3-mopidy-mpd-pyc-3.3.0-r4.apk
73.82KB
2024-10-25 23:07:11
py3-mopidy-tidal-0.3.2-r6.apk
24.75KB
2024-10-25 23:07:11
py3-mopidy-tidal-pyc-0.3.2-r6.apk
35.63KB
2024-10-25 23:07:11
py3-more-properties-1.1.1-r3.apk
7.43KB
2024-10-25 23:07:11
py3-more-properties-pyc-1.1.1-r3.apk
8.22KB
2024-10-25 23:07:11
py3-moviepy-1.0.3-r6.apk
94.49KB
2024-10-25 23:07:11
py3-moviepy-pyc-1.0.3-r6.apk
155.53KB
2024-10-25 23:07:11
py3-msldap-0.5.12-r0.apk
139.10KB
2024-10-25 23:07:11
py3-msldap-pyc-0.5.12-r0.apk
314.74KB
2024-10-25 23:07:11
py3-mss-10.0.0-r0.apk
50.59KB
2024-11-14 15:10:59
py3-natpmp-1.3.2-r1.apk
9.41KB
2024-10-25 23:07:11
py3-natpmp-pyc-1.3.2-r1.apk
9.86KB
2024-10-25 23:07:11
py3-ncclient-0.6.13-r5.apk
68.21KB
2024-10-25 23:07:11
py3-ncclient-pyc-0.6.13-r5.apk
106.52KB
2024-10-25 23:07:11
py3-netifaces2-0.0.22-r0.apk
200.51KB
2024-10-25 23:07:11
py3-netifaces2-pyc-0.0.22-r0.apk
9.21KB
2024-10-25 23:07:11
py3-netmiko-4.4.0-r0.apk
175.85KB
2024-10-25 23:07:11
py3-netmiko-pyc-4.4.0-r0.apk
333.60KB
2024-10-25 23:07:11
py3-nmap-0.7.1-r4.apk
20.35KB
2024-10-25 23:07:11
py3-nmap-pyc-0.7.1-r4.apk
25.48KB
2024-10-25 23:07:11
py3-nose-timer-1.0.1-r6.apk
9.37KB
2024-10-25 23:07:11
py3-nose-timer-pyc-1.0.1-r6.apk
9.91KB
2024-10-25 23:07:11
py3-notifymail-1.1-r8.apk
7.58KB
2024-10-25 23:07:11
py3-notifymail-pyc-1.1-r8.apk
5.74KB
2024-10-25 23:07:11
py3-nptyping-2.5.0-r3.apk
21.34KB
2024-10-25 23:07:11
py3-nptyping-pyc-2.5.0-r3.apk
32.03KB
2024-10-25 23:07:11
py3-ntplib-0.4.0-r5.apk
7.40KB
2024-10-25 23:07:11
py3-ntplib-pyc-0.4.0-r5.apk
8.62KB
2024-10-25 23:07:11
py3-numpy-stl-3.2.0-r0.apk
21.01KB
2024-12-01 04:04:48
py3-numpy-stl-pyc-3.2.0-r0.apk
27.87KB
2024-12-01 04:04:48
py3-nwdiag-3.0.0-r3.apk
4.92MB
2024-10-25 23:07:11
py3-nwdiag-pyc-3.0.0-r3.apk
77.88KB
2024-10-25 23:07:11
py3-okonomiyaki-2.0.0-r0.apk
7.89MB
2024-10-25 23:07:11
py3-okonomiyaki-pyc-2.0.0-r0.apk
243.07KB
2024-10-25 23:07:11
py3-onnxruntime-1.19.2-r3.apk
10.69MB
2024-12-25 21:50:43
py3-onnxruntime-pyc-1.19.2-r3.apk
1.19MB
2024-12-25 21:50:43
py3-openapi-codec-1.3.2-r9.apk
7.65KB
2024-10-25 23:07:11
py3-openapi-codec-pyc-1.3.2-r9.apk
11.74KB
2024-10-25 23:07:11
py3-opendht-3.1.7-r5.apk
154.94KB
2024-12-14 20:56:25
py3-openssh-wrapper-0.5_git20130425-r4.apk
8.24KB
2024-10-25 23:07:11
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
10.42KB
2024-10-25 23:07:11
py3-openwisp-utils-1.0.4-r4.apk
497.64KB
2024-10-25 23:07:11
py3-openwisp-utils-pyc-1.0.4-r4.apk
42.26KB
2024-10-25 23:07:11
py3-orderedmultidict-1.0.1-r7.apk
11.87KB
2024-10-25 23:07:11
py3-orderedmultidict-pyc-1.0.1-r7.apk
17.20KB
2024-10-25 23:07:11
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
9.97KB
2024-10-25 23:07:11
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
8.22KB
2024-10-25 23:07:11
py3-ovos-backend-client-1.0.0-r0.apk
45.92KB
2024-10-25 23:07:11
py3-ovos-backend-client-pyc-1.0.0-r0.apk
91.05KB
2024-10-25 23:07:11
py3-ovos-bus-client-1.0.4-r0.apk
45.43KB
2024-11-21 15:31:25
py3-ovos-bus-client-pyc-1.0.4-r0.apk
81.45KB
2024-11-21 15:31:25
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
102.97KB
2024-10-25 23:07:11
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
163.52KB
2024-10-25 23:07:11
py3-ovos-config-1.0.0-r0.apk
43.22KB
2024-11-25 14:41:18
py3-ovos-config-pyc-1.0.0-r0.apk
33.91KB
2024-11-25 14:41:18
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
357.78KB
2024-10-25 23:07:11
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
436.70KB
2024-10-25 23:07:11
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk
9.00KB
2024-10-25 23:07:11
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk
4.76KB
2024-10-25 23:07:11
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
550.23KB
2024-10-25 23:07:11
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
86.04KB
2024-10-25 23:07:11
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
46.97KB
2024-10-25 23:07:11
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
103.57KB
2024-10-25 23:07:11
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
8.07KB
2024-10-25 23:07:11
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
3.40KB
2024-10-25 23:07:11
py3-ovos-ocp-news-plugin-0.0.4-r0.apk
11.34KB
2024-10-25 23:07:11
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk
8.93KB
2024-10-25 23:07:11
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk
8.30KB
2024-10-25 23:07:11
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk
3.72KB
2024-10-25 23:07:11
py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk
8.62KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk
5.02KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
4.52KB
2024-10-25 23:07:11
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
4.37KB
2024-10-25 23:07:11
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
95.11KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
11.22KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
11.92KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
9.66KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-system-1.0.1-r0.apk
14.52KB
2024-11-21 15:31:25
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk
9.58KB
2024-11-21 15:31:25
py3-ovos-plugin-manager-0.6.0-r0.apk
91.82KB
2024-11-21 15:31:25
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk
177.67KB
2024-11-21 15:31:25
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
10.08KB
2024-10-25 23:07:11
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
6.77KB
2024-10-25 23:07:11
py3-ovos-translate-server-plugin-0.0.0-r0.apk
8.33KB
2024-10-25 23:07:11
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
4.11KB
2024-10-25 23:07:11
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
9.51KB
2024-10-25 23:07:11
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
5.44KB
2024-10-25 23:07:11
py3-ovos-utils-0.5.4-r0.apk
68.83KB
2024-11-25 14:41:18
py3-ovos-utils-pyc-0.5.4-r0.apk
123.90KB
2024-11-25 14:41:18
py3-ovos-workshop-3.1.1-r0.apk
87.07KB
2024-11-25 14:41:18
py3-ovos-workshop-pyc-3.1.1-r0.apk
155.74KB
2024-11-25 14:41:18
py3-owslib-0.32.0-r0.apk
193.16KB
2024-11-08 00:59:06
py3-owslib-pyc-0.32.0-r0.apk
421.06KB
2024-11-08 00:59:06
py3-pacparser-1.4.5-r1.apk
391.38KB
2024-10-25 23:07:11
py3-pacparser-pyc-1.4.5-r1.apk
4.04KB
2024-10-25 23:07:11
py3-padacioso-0.2.1-r0.apk
11.45KB
2024-10-25 23:07:11
py3-padacioso-pyc-0.2.1-r0.apk
12.28KB
2024-10-25 23:07:11
py3-pam-2.0.2-r2.apk
11.19KB
2024-10-25 23:07:11
py3-pam-pyc-2.0.2-r2.apk
12.96KB
2024-10-25 23:07:11
py3-pathvalidate-3.2.3-r0.apk
18.80KB
2025-01-06 12:40:31
py3-pathvalidate-pyc-3.2.3-r0.apk
33.33KB
2025-01-06 12:40:31
py3-pbkdf2-1.3-r7.apk
6.29KB
2024-10-25 23:07:11
py3-pbkdf2-pyc-1.3-r7.apk
7.07KB
2024-10-25 23:07:11
py3-pbs-installer-2024.12.19-r0.apk
50.58KB
2024-12-31 03:10:23
py3-pbs-installer-pyc-2024.12.19-r0.apk
56.93KB
2024-12-31 03:10:23
py3-pdal-3.4.5-r0.apk
164.71KB
2024-11-05 23:52:58
py3-pdal-pyc-3.4.5-r0.apk
13.04KB
2024-11-05 23:52:58
py3-pelican-4.9.1-r2.apk
233.72KB
2024-10-25 23:07:11
py3-pelican-pyc-4.9.1-r2.apk
147.30KB
2024-10-25 23:07:11
py3-pep8-naming-0.14.1-r0.apk
9.77KB
2024-10-25 23:07:11
py3-pep8-naming-pyc-0.14.1-r0.apk
13.20KB
2024-10-25 23:07:11
py3-phpserialize-1.3-r8.apk
8.85KB
2024-10-25 23:07:11
py3-phpserialize-pyc-1.3-r8.apk
10.70KB
2024-10-25 23:07:11
py3-phx-class-registry-5.0.0-r0.apk
13.14KB
2024-10-25 23:07:11
py3-phx-class-registry-doc-5.0.0-r0.apk
2.22KB
2024-10-25 23:07:11
py3-phx-class-registry-pyc-5.0.0-r0.apk
17.41KB
2024-10-25 23:07:11
py3-piccata-2.0.3-r1.apk
20.08KB
2024-10-25 23:07:11
py3-piccata-pyc-2.0.3-r1.apk
34.22KB
2024-10-25 23:07:11
py3-pickle-secure-0.99.9-r1.apk
7.50KB
2024-10-25 23:07:11
py3-pickle-secure-pyc-0.99.9-r1.apk
5.36KB
2024-10-25 23:07:11
py3-pigpio-79-r4.apk
93.15KB
2024-10-25 23:07:11
py3-pika-1.3.2-r1.apk
143.10KB
2024-10-25 23:07:11
py3-pika-pyc-1.3.2-r1.apk
245.88KB
2024-10-25 23:07:11
py3-pillow_heif-0.18.0-r0.apk
40.73KB
2024-10-25 23:07:11
py3-pillow_heif-pyc-0.18.0-r0.apk
35.54KB
2024-10-25 23:07:11
py3-pip-system-certs-4.0-r1.apk
6.99KB
2024-10-25 23:07:11
py3-pip-system-certs-pyc-4.0-r1.apk
4.65KB
2024-10-25 23:07:11
py3-piper-phonemize-2023.11.14.4-r5.apk
126.30KB
2024-10-25 23:07:11
py3-piper-phonemize-pyc-2023.11.14.4-r5.apk
3.32KB
2024-10-25 23:07:11
py3-pivy-0.6.9-r2.apk
1.94MB
2024-11-24 00:51:20
py3-playsound-1.3.0-r1.apk
6.86KB
2024-10-25 23:07:11
py3-playsound-pyc-1.3.0-r1.apk
8.54KB
2024-10-25 23:07:11
py3-plexapi-4.16.1-r0.apk
152.93KB
2025-01-12 19:48:44
py3-plexapi-doc-4.16.1-r0.apk
84.00KB
2025-01-12 19:48:44
py3-plexapi-pyc-4.16.1-r0.apk
306.02KB
2025-01-12 19:48:44
py3-pltable-1.1.0-r1.apk
18.58KB
2024-11-13 08:28:29
py3-pltable-pyc-1.1.0-r1.apk
33.48KB
2024-11-13 08:28:29
py3-pockethernet-0.7.0-r4.apk
14.78KB
2024-10-25 23:07:11
py3-pockethernet-pyc-0.7.0-r4.apk
25.21KB
2024-10-25 23:07:11
py3-poetry-dynamic-versioning-1.4.1-r0.apk
19.15KB
2024-10-25 23:07:11
py3-poetry-dynamic-versioning-pyc-1.4.1-r0.apk
24.33KB
2024-10-25 23:07:11
py3-poppler-qt5-21.3.0-r1.apk
118.05KB
2024-10-25 23:07:11
py3-ppk2-api-0.9.2-r0.apk
16.48KB
2024-11-30 22:52:07
py3-ppk2-api-pyc-0.9.2-r0.apk
16.51KB
2024-11-30 22:52:07
py3-pprintpp-0.4.0-r1.apk
13.90KB
2024-10-25 23:07:11
py3-pprintpp-pyc-0.4.0-r1.apk
16.28KB
2024-10-25 23:07:11
py3-print-color-0.4.6-r0.apk
8.86KB
2024-10-25 23:07:11
py3-print-color-doc-0.4.6-r0.apk
2.62KB
2024-10-25 23:07:11
py3-print-color-pyc-0.4.6-r0.apk
4.97KB
2024-10-25 23:07:11
py3-proglog-0.1.10-r2.apk
7.38KB
2024-10-25 23:07:11
py3-proglog-pyc-0.1.10-r2.apk
9.92KB
2024-10-25 23:07:11
py3-protego-0.3.1-r0.apk
9.34KB
2024-11-30 22:18:55
py3-protego-pyc-0.3.1-r0.apk
11.56KB
2024-11-30 22:18:55
py3-proxmoxer-2.2.0-r0.apk
16.85KB
2024-12-16 13:36:16
py3-proxmoxer-pyc-2.2.0-r0.apk
27.73KB
2024-12-16 13:36:16
py3-pure_protobuf-3.1.2-r0.apk
21.12KB
2024-10-25 23:07:11
py3-pure_protobuf-pyc-3.1.2-r0.apk
37.68KB
2024-10-25 23:07:11
py3-py-radix-0.10.0-r10.apk
18.42KB
2024-10-25 23:07:11
py3-py-radix-pyc-0.10.0-r10.apk
10.62KB
2024-10-25 23:07:11
py3-pyatem-0.5.0-r4.apk
52.02KB
2024-10-25 23:07:11
py3-pyatem-pyc-0.5.0-r4.apk
91.62KB
2024-10-25 23:07:11
py3-pyautogui-0.9.53-r5.apk
35.07KB
2024-10-25 23:07:11
py3-pyautogui-pyc-0.9.53-r5.apk
45.27KB
2024-10-25 23:07:11
py3-pybars3-0.9.7-r6.apk
14.84KB
2024-10-25 23:07:11
py3-pybars3-pyc-0.9.7-r6.apk
16.83KB
2024-10-25 23:07:11
py3-pycaption-2.2.15-r0.apk
360.07KB
2024-10-25 23:07:11
py3-pycolorterm-0.2.1-r6.apk
5.46KB
2024-10-25 23:07:11
py3-pycolorterm-pyc-0.2.1-r6.apk
3.73KB
2024-10-25 23:07:11
py3-pycosat-0.6.6-r2.apk
44.50KB
2024-10-25 23:07:11
py3-pydes-2.0.1-r5.apk
10.68KB
2024-10-25 23:07:11
py3-pydes-doc-2.0.1-r5.apk
3.64KB
2024-10-25 23:07:11
py3-pydes-pyc-2.0.1-r5.apk
13.17KB
2024-10-25 23:07:11
py3-pygelbooru-0.5.0-r4.apk
8.15KB
2024-10-25 23:07:11
py3-pygelbooru-pyc-0.5.0-r4.apk
11.47KB
2024-10-25 23:07:11
py3-pygfm-2.0.0-r2.apk
12.73KB
2024-10-25 23:07:11
py3-pygfm-pyc-2.0.0-r2.apk
13.20KB
2024-10-25 23:07:11
py3-pyglet-2.1.0-r0.apk
870.24KB
2025-01-12 11:01:00
py3-pyglet-pyc-2.1.0-r0.apk
1.58MB
2025-01-12 11:01:00
py3-pyglm-2.7.3-r0.apk
1.43MB
2024-11-06 11:42:12
py3-pygpgme-0.3.1-r9.apk
35.04KB
2024-10-25 23:07:12
py3-pygpgme-pyc-0.3.1-r9.apk
5.07KB
2024-10-25 23:07:12
py3-pygtail-0.14.0-r3.apk
14.98KB
2024-10-25 23:07:12
py3-pygtail-pyc-0.14.0-r3.apk
10.09KB
2024-10-25 23:07:12
py3-pyinstaller-6.6.0-r0.apk
1.88MB
2024-10-25 23:07:12
py3-pyinstaller-pyc-6.6.0-r0.apk
502.25KB
2024-10-25 23:07:12
py3-pyinstrument-5.0.0-r0.apk
107.01KB
2024-12-21 07:53:18
py3-pyinstrument-pyc-5.0.0-r0.apk
98.43KB
2024-12-21 07:53:18
py3-pyisbn-1.3.1-r3.apk
20.45KB
2024-10-25 23:07:12
py3-pyisbn-pyc-1.3.1-r3.apk
9.41KB
2024-10-25 23:07:12
py3-pylru-1.2.1-r1.apk
16.57KB
2024-10-25 23:07:12
py3-pylru-pyc-1.2.1-r1.apk
8.95KB
2024-10-25 23:07:12
py3-pymaging-0.0.20130908-r10.apk
17.99KB
2024-10-25 23:07:12
py3-pymaging-png-0.0.20130727-r10.apk
35.02KB
2024-10-25 23:07:12
py3-pymaging-png-pyc-0.0.20130727-r10.apk
51.92KB
2024-10-25 23:07:12
py3-pymaging-pyc-0.0.20130908-r10.apk
31.67KB
2024-10-25 23:07:12
py3-pymata-2.20-r4.apk
22.50KB
2024-10-25 23:07:12
py3-pymata-pyc-2.20-r4.apk
29.40KB
2024-10-25 23:07:12
py3-pymata4-1.15-r4.apk
23.05KB
2024-10-25 23:07:12
py3-pymata4-pyc-1.15-r4.apk
30.82KB
2024-10-25 23:07:12
py3-pymeta3-0.5.1-r6.apk
16.74KB
2024-10-25 23:07:12
py3-pymeta3-pyc-0.5.1-r6.apk
31.55KB
2024-10-25 23:07:12
py3-pymsgbox-1.0.9-r5.apk
9.16KB
2024-10-25 23:07:12
py3-pymsgbox-pyc-1.0.9-r5.apk
9.84KB
2024-10-25 23:07:12
py3-pymsteams-0.2.3-r1.apk
11.79KB
2024-10-25 23:07:12
py3-pymsteams-pyc-0.2.3-r1.apk
6.58KB
2024-10-25 23:07:12
py3-pynest2d-5.2.2-r4.apk
234.96KB
2024-10-25 23:07:12
py3-pyparted-3.13.0-r1.apk
75.63KB
2024-10-25 23:07:12
py3-pyparted-pyc-3.13.0-r1.apk
42.17KB
2024-10-25 23:07:12
py3-pypubsub-4.0.3-r0.apk
52.39KB
2024-10-25 23:07:12
py3-pypubsub-doc-4.0.3-r0.apk
2.14KB
2024-10-25 23:07:12
py3-pypubsub-pyc-4.0.3-r0.apk
89.91KB
2024-10-25 23:07:12
py3-pyqrcode-1.2.1-r0.apk
36.56KB
2024-10-25 23:07:12
py3-pyqrcode-doc-1.2.1-r0.apk
4.30KB
2024-10-25 23:07:12
py3-pyqrcode-pyc-1.2.1-r0.apk
47.27KB
2024-10-25 23:07:12
py3-pyrebase-3.0.27-r5.apk
9.62KB
2024-10-25 23:07:12
py3-pyrebase-pyc-3.0.27-r5.apk
17.56KB
2024-10-25 23:07:12
py3-pyroma-4.2-r0.apk
21.87KB
2024-10-25 23:07:12
py3-pyroma-pyc-4.2-r0.apk
25.95KB
2024-10-25 23:07:12
py3-pyscreeze-0.1.29-r3.apk
14.11KB
2024-10-25 23:07:12
py3-pyscreeze-pyc-0.1.29-r3.apk
14.19KB
2024-10-25 23:07:12
py3-pysequoia-0.1.20-r3.apk
1.54MB
2024-10-25 23:07:12
py3-pysequoia-pyc-0.1.20-r3.apk
1.88KB
2024-10-25 23:07:12
py3-pysimplesoap-1.16.2-r7.apk
43.67KB
2024-10-25 23:07:12
py3-pysimplesoap-pyc-1.16.2-r7.apk
78.17KB
2024-10-25 23:07:12
py3-pysonic-1.0.1-r1.apk
34.74KB
2024-10-25 23:07:12
py3-pysonic-pyc-1.0.1-r1.apk
31.94KB
2024-10-25 23:07:12
py3-pyspinel-1.0.3-r1.apk
56.01KB
2024-10-25 23:07:12
py3-pyspinel-pyc-1.0.3-r1.apk
63.04KB
2024-10-25 23:07:12
py3-pysrt-1.1.2-r4.apk
25.82KB
2024-10-25 23:07:12
py3-pysrt-pyc-1.1.2-r4.apk
23.01KB
2024-10-25 23:07:12
py3-pystache-0.6.5-r1.apk
68.11KB
2024-10-25 23:07:12
py3-pystache-pyc-0.6.5-r1.apk
96.67KB
2024-10-25 23:07:12
py3-pysubs2-1.8.0-r0.apk
35.92KB
2024-12-26 00:09:47
py3-pysubs2-pyc-1.8.0-r0.apk
67.71KB
2024-12-26 00:09:47
py3-pytaglib-1.5.0-r3.apk
34.30KB
2024-10-25 23:07:12
py3-pytaglib-pyc-1.5.0-r3.apk
3.00KB
2024-10-25 23:07:12
py3-pytap2-2.3.0-r0.apk
6.97KB
2024-10-25 23:07:12
py3-pytap2-doc-2.3.0-r0.apk
2.79KB
2024-10-25 23:07:12
py3-pytap2-pyc-2.3.0-r0.apk
5.99KB
2024-10-25 23:07:12
py3-pyte-0.8.2-r2.apk
30.29KB
2024-10-25 23:07:12
py3-pyte-pyc-0.8.2-r2.apk
39.46KB
2024-10-25 23:07:12
py3-pytest-expect-1.1.0-r10.apk
5.89KB
2024-10-25 23:07:12
py3-pytest-expect-pyc-1.1.0-r10.apk
6.95KB
2024-10-25 23:07:12
py3-pytest-home-0.6.0-r0.apk
4.54KB
2024-10-25 23:07:12
py3-pytest-home-pyc-0.6.0-r0.apk
2.80KB
2024-10-25 23:07:12
py3-pytest-html-4.1.1-r1.apk
21.62KB
2024-10-25 23:07:12
py3-pytest-html-pyc-4.1.1-r1.apk
21.75KB
2024-10-25 23:07:12
py3-pytest-metadata-3.1.1-r0.apk
10.19KB
2024-10-25 23:07:12
py3-pytest-metadata-pyc-3.1.1-r0.apk
7.90KB
2024-10-25 23:07:12
py3-pytest-regtest-2.3.2-r0.apk
15.81KB
2024-11-14 01:16:55
py3-pytest-regtest-pyc-2.3.2-r0.apk
30.05KB
2024-11-14 01:16:55
py3-pytest-subprocess-1.5.2-r0.apk
19.51KB
2024-10-25 23:07:12
py3-pytest-subprocess-pyc-1.5.2-r0.apk
24.51KB
2024-10-25 23:07:12
py3-python-archive-0.2-r7.apk
7.40KB
2024-10-25 23:07:12
py3-python-archive-pyc-0.2-r7.apk
9.35KB
2024-10-25 23:07:12
py3-python-iptables-1.0.1-r1.apk
38.51KB
2024-10-25 23:07:12
py3-python-iptables-pyc-1.0.1-r1.apk
67.90KB
2024-10-25 23:07:12
py3-python-logstash-0.4.8-r4.apk
8.60KB
2024-10-25 23:07:12
py3-python-logstash-doc-0.4.8-r4.apk
2.26KB
2024-10-25 23:07:12
py3-python-logstash-pyc-0.4.8-r4.apk
8.41KB
2024-10-25 23:07:12
py3-python-stdnum-1.20-r0.apk
805.71KB
2024-10-25 23:07:12
py3-python-stdnum-pyc-1.20-r0.apk
293.50KB
2024-10-25 23:07:12
py3-pyvcd-0.4.1-r0.apk
22.67KB
2024-11-11 23:46:18
py3-pyvcd-pyc-0.4.1-r0.apk
40.45KB
2024-11-11 23:46:18
py3-pyzor-1.0.0-r11.apk
40.23KB
2024-10-25 23:07:12
py3-pyzor-pyc-1.0.0-r11.apk
53.70KB
2024-10-25 23:07:12
py3-qasync-0.19.0-r2.apk
36.87KB
2024-10-25 23:07:12
py3-qbittorrent-api-2024.12.71-r0.apk
56.74KB
2024-12-23 23:32:56
py3-qbittorrent-api-doc-2024.12.71-r0.apk
30.83KB
2024-12-23 23:32:56
py3-qbittorrent-api-pyc-2024.12.71-r0.apk
94.45KB
2024-12-23 23:32:56
py3-qpageview-0.6.2-r1.apk
98.05KB
2024-10-25 23:07:12
py3-qpageview-doc-0.6.2-r1.apk
56.29KB
2024-10-25 23:07:12
py3-qpageview-pyc-0.6.2-r1.apk
180.23KB
2024-10-25 23:07:12
py3-quebra-frases-0.3.7-r1.apk
8.81KB
2024-10-25 23:07:12
py3-quebra-frases-pyc-0.3.7-r1.apk
7.81KB
2024-10-25 23:07:12
py3-queuelib-1.7.0-r0.apk
12.77KB
2024-10-25 23:07:12
py3-queuelib-pyc-1.7.0-r0.apk
24.79KB
2024-10-25 23:07:12
py3-rabbit-1.1.0-r8.apk
10.94KB
2024-10-25 23:07:12
py3-rabbit-pyc-1.1.0-r8.apk
15.49KB
2024-10-25 23:07:12
py3-radon-6.0.1-r2.apk
31.52KB
2024-10-25 23:07:12
py3-radon-doc-6.0.1-r2.apk
5.14KB
2024-10-25 23:07:12
py3-radon-pyc-6.0.1-r2.apk
49.57KB
2024-10-25 23:07:12
py3-recommonmark-0.7.1-r4.apk
11.74KB
2024-10-25 23:07:12
py3-recommonmark-pyc-0.7.1-r4.apk
17.51KB
2024-10-25 23:07:12
py3-recurring-ical-events-3.4.0-r0.apk
30.99KB
2024-12-28 20:13:28
py3-recurring-ical-events-pyc-3.4.0-r0.apk
33.40KB
2024-12-28 20:13:28
py3-redmine-2.5.0-r0.apk
36.78KB
2024-10-25 23:07:12
py3-redmine-pyc-2.5.0-r0.apk
53.59KB
2024-10-25 23:07:12
py3-remind-0.19.1-r0.apk
24.56KB
2024-10-25 23:07:12
py3-remind-pyc-0.19.1-r0.apk
22.54KB
2024-10-25 23:07:12
py3-requests-cache-1.2.1-r1.apk
49.93KB
2024-11-20 00:34:17
py3-requests-cache-pyc-1.2.1-r1.apk
94.21KB
2024-11-20 00:34:17
py3-requests-kerberos-0.15.0-r0.apk
12.10KB
2024-12-01 19:24:14
py3-requests-kerberos-pyc-0.15.0-r0.apk
10.93KB
2024-12-01 19:24:14
py3-requests-wsgi-adapter-0.4.1-r1.apk
5.51KB
2024-10-25 23:07:12
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
6.60KB
2024-10-25 23:07:12
py3-rfc-bibtex-0.3.2-r7.apk
12.87KB
2024-10-25 23:07:12
py3-rfc-bibtex-pyc-0.3.2-r7.apk
12.44KB
2024-10-25 23:07:12
py3-rfc3987-1.3.8-r6.apk
20.93KB
2024-10-25 23:07:12
py3-rfc3987-pyc-1.3.8-r6.apk
10.60KB
2024-10-25 23:07:12
py3-rich-click-1.7.3-r1.apk
30.73KB
2024-10-25 23:07:12
py3-rich-click-pyc-1.7.3-r1.apk
40.33KB
2024-10-25 23:07:12
py3-riotctrl-0.5.0-r4.apk
13.04KB
2024-10-25 23:07:12
py3-riotctrl-pyc-0.5.0-r4.apk
10.71KB
2024-10-25 23:07:12
py3-rosdistro-0.9.0-r3.apk
47.07KB
2024-10-25 23:07:12
py3-rosdistro-pyc-0.9.0-r3.apk
91.26KB
2024-10-25 23:07:12
py3-rospkg-1.2.9-r5.apk
28.80KB
2024-10-25 23:07:12
py3-rospkg-pyc-1.2.9-r5.apk
54.12KB
2024-10-25 23:07:12
py3-rpio-0.10.1-r8.apk
35.75KB
2024-10-25 23:07:12
py3-rpio-pyc-0.10.1-r8.apk
15.95KB
2024-10-25 23:07:12
py3-rst-0.1-r9.apk
5.56KB
2024-10-25 23:07:12
py3-rst-pyc-0.1-r9.apk
6.11KB
2024-10-25 23:07:12
py3-rst.linker-2.6.0-r0.apk
6.09KB
2024-10-25 23:07:12
py3-rst.linker-pyc-2.6.0-r0.apk
6.65KB
2024-10-25 23:07:12
py3-rst2ansi-0.1.5-r0.apk
12.16KB
2024-10-25 23:07:12
py3-rst2ansi-doc-0.1.5-r0.apk
2.24KB
2024-10-25 23:07:12
py3-rst2ansi-pyc-0.1.5-r0.apk
23.97KB
2024-10-25 23:07:12
py3-rtree-1.3.0-r0.apk
24.66KB
2025-01-04 07:20:18
py3-rtree-pyc-1.3.0-r0.apk
44.38KB
2025-01-04 07:20:18
py3-schema-0.7.5-r4.apk
18.47KB
2024-10-25 23:07:12
py3-schema-pyc-0.7.5-r4.apk
18.31KB
2024-10-25 23:07:12
py3-scour-0.38.2-r1.apk
56.39KB
2024-10-25 23:07:12
py3-scour-pyc-0.38.2-r1.apk
73.77KB
2024-10-25 23:07:12
py3-scrapy-2.11.1-r1.apk
239.98KB
2024-10-25 23:07:12
py3-scrapy-pyc-2.11.1-r1.apk
482.01KB
2024-10-25 23:07:12
py3-scs-3.2.3-r4.apk
101.33KB
2024-10-25 23:07:12
py3-scs-pyc-3.2.3-r4.apk
4.92KB
2024-10-25 23:07:12
py3-seqdiag-3.0.0-r5.apk
2.46MB
2024-10-25 23:07:12
py3-seqdiag-pyc-3.0.0-r5.apk
42.23KB
2024-10-25 23:07:12
py3-setuptools-lint-0.6.0-r9.apk
5.42KB
2024-10-25 23:07:12
py3-setuptools-lint-pyc-0.6.0-r9.apk
6.00KB
2024-10-25 23:07:12
py3-sh-2.1.0-r0.apk
37.76KB
2024-11-01 17:13:50
py3-sh-pyc-2.1.0-r0.apk
54.86KB
2024-11-01 17:13:50
py3-shodan-1.31.0-r1.apk
44.09KB
2024-10-25 23:07:12
py3-shodan-doc-1.31.0-r1.apk
7.20KB
2024-10-25 23:07:12
py3-shodan-pyc-1.31.0-r1.apk
79.15KB
2024-10-25 23:07:12
py3-simber-0.2.6-r4.apk
12.11KB
2024-10-25 23:07:12
py3-simber-pyc-0.2.6-r4.apk
16.39KB
2024-10-25 23:07:12
py3-simplematch-1.4-r1.apk
8.00KB
2024-10-25 23:07:12
py3-simplematch-pyc-1.4-r1.apk
5.80KB
2024-10-25 23:07:12
py3-simplesat-0.8.2-r0.apk
214.02KB
2024-10-25 23:07:12
py3-simplesat-pyc-0.8.2-r0.apk
156.57KB
2024-10-25 23:07:12
py3-simplesoapy-1.5.1-r7.apk
7.86KB
2024-10-25 23:07:12
py3-simplesoapy-pyc-1.5.1-r7.apk
11.77KB
2024-10-25 23:07:12
py3-simplespectral-1.0.0-r5.apk
7.50KB
2024-10-25 23:07:12
py3-simplespectral-pyc-1.0.0-r5.apk
8.08KB
2024-10-25 23:07:12
py3-slidge-style-parser-0.1.8-r0.apk
206.44KB
2024-10-25 23:07:12
py3-slidge-style-parser-pyc-0.1.8-r0.apk
1.95KB
2024-10-25 23:07:12
py3-slixmpp-1.8.5-r2.apk
381.94KB
2024-10-25 23:07:12
py3-slixmpp-doc-1.8.5-r2.apk
5.81KB
2024-10-25 23:07:12
py3-slixmpp-pyc-1.8.5-r2.apk
729.49KB
2024-10-25 23:07:12
py3-snapshottest-0.6.0-r5.apk
15.11KB
2024-10-25 23:07:12
py3-snapshottest-pyc-0.6.0-r5.apk
25.73KB
2024-10-25 23:07:12
py3-soappy-0.52.30-r0.apk
47.26KB
2024-12-03 00:54:59
py3-soappy-pyc-0.52.30-r0.apk
95.28KB
2024-12-03 00:54:59
py3-soapy_power-1.6.1-r5.apk
17.49KB
2024-10-25 23:07:12
py3-soapy_power-pyc-1.6.1-r5.apk
26.52KB
2024-10-25 23:07:12
py3-sortedcollections-2.1.0-r5.apk
10.66KB
2024-10-25 23:07:12
py3-sortedcollections-pyc-2.1.0-r5.apk
13.87KB
2024-10-25 23:07:12
py3-spake2-0.9-r0.apk
30.14KB
2024-10-25 23:07:12
py3-spake2-pyc-0.9-r0.apk
43.51KB
2024-10-25 23:07:12
py3-sphinx-argparse-0.5.2-r0.apk
14.19KB
2024-10-25 23:07:12
py3-sphinx-argparse-pyc-0.5.2-r0.apk
22.11KB
2024-10-25 23:07:12
py3-sphinx-autoapi-3.4.0-r0.apk
30.97KB
2024-12-04 23:57:58
py3-sphinx-autoapi-pyc-3.4.0-r0.apk
58.32KB
2024-12-04 23:57:58
py3-sphinx-autodoc-typehints-3.0.0-r0.apk
19.39KB
2025-01-05 20:09:15
py3-sphinx-autodoc-typehints-pyc-3.0.0-r0.apk
28.45KB
2025-01-05 20:09:15
py3-sphinx-theme-better-0.1.5-r7.apk
10.52KB
2024-10-25 23:07:12
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
1.98KB
2024-10-25 23:07:12
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
1.16MB
2024-10-25 23:07:12
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2.35KB
2024-10-25 23:07:12
py3-sphinx-theme-bw-0.1.8-r7.apk
64.76KB
2024-10-25 23:07:12
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
1.83KB
2024-10-25 23:07:12
py3-sphinx-theme-cloud-1.10.0-r2.apk
81.30KB
2024-10-25 23:07:12
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
42.77KB
2024-10-25 23:07:12
py3-sphinx-theme-epfl-1.1.1-r9.apk
29.85KB
2024-10-25 23:07:12
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2.47KB
2024-10-25 23:07:12
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2.45MB
2024-10-25 23:07:12
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
5.51KB
2024-10-25 23:07:12
py3-sphinx-theme-readable-1.3.0-r9.apk
8.82KB
2024-10-25 23:07:12
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2.21KB
2024-10-25 23:07:12
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
7.64KB
2024-10-25 23:07:12
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
9.28KB
2024-10-25 23:07:12
py3-sphinxcontrib-adadomain-0.2-r9.apk
9.01KB
2024-10-25 23:07:12
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
11.98KB
2024-10-25 23:07:12
py3-sphinxcontrib-bitbucket-1.0-r8.apk
5.67KB
2024-10-25 23:07:12
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
4.08KB
2024-10-25 23:07:12
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
7.54KB
2024-10-25 23:07:12
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
9.15KB
2024-10-25 23:07:12
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
5.73KB
2024-10-25 23:07:12
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
4.25KB
2024-10-25 23:07:12
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
17.92KB
2024-10-25 23:07:12
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
33.50KB
2024-10-25 23:07:12
py3-sphinxcontrib-doxylink-1.12.3-r0.apk
12.23KB
2024-12-07 00:57:59
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk
16.38KB
2024-12-07 00:57:59
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
9.12KB
2024-10-25 23:07:12
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
3.48KB
2024-10-25 23:07:12
py3-sphinxcontrib-gist-0.1.0-r9.apk
3.91KB
2024-10-25 23:07:12
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
3.33KB
2024-10-25 23:07:12
py3-sphinxcontrib-git-11.0.0-r7.apk
17.13KB
2024-10-25 23:07:12
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
6.62KB
2024-10-25 23:07:12
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
7.86KB
2024-10-25 23:07:12
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
7.62KB
2024-10-25 23:07:12
py3-sphinxcontrib-htsql-0.1.5-r8.apk
10.55KB
2024-10-25 23:07:12
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
15.03KB
2024-10-25 23:07:12
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
18.10KB
2024-10-25 23:07:12
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
4.33KB
2024-10-25 23:07:12
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
33.89KB
2024-10-25 23:07:12
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
21.37KB
2024-10-25 23:07:12
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
43.84KB
2024-10-25 23:07:12
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
10.53KB
2024-10-25 23:07:12
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
15.08KB
2024-10-25 23:07:12
py3-sphinxcontrib-issuetracker-0.11-r7.apk
11.09KB
2024-10-25 23:07:12
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
11.94KB
2024-10-25 23:07:12
py3-sphinxcontrib-lassodomain-0.4-r8.apk
7.92KB
2024-10-25 23:07:12
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
10.60KB
2024-10-25 23:07:12
py3-sphinxcontrib-manpage-0.6-r8.apk
4.14KB
2024-10-25 23:07:12
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
3.15KB
2024-10-25 23:07:12
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
8.71KB
2024-10-25 23:07:12
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
11.19KB
2024-10-25 23:07:12
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk
11.47KB
2024-10-25 23:07:12
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk
16.97KB
2024-10-25 23:07:12
py3-sphinxcontrib-programoutput-0.17-r5.apk
16.47KB
2024-10-25 23:07:12
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
23.85KB
2024-10-25 23:07:12
py3-sphinxcontrib-restbuilder-0.3-r6.apk
11.32KB
2024-10-25 23:07:12
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
20.50KB
2024-10-25 23:07:12
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
7.65KB
2024-10-25 23:07:12
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
9.30KB
2024-10-25 23:07:12
py3-sphinxcontrib-slide-1.0.0-r3.apk
5.00KB
2024-10-25 23:07:12
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk
5.55KB
2024-10-25 23:07:12
py3-sphinxcontrib-spelling-8.0.0-r3.apk
15.35KB
2024-10-25 23:07:12
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk
18.91KB
2024-10-25 23:07:12
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
7.34KB
2024-10-25 23:07:12
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
4.93KB
2024-10-25 23:07:12
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
6.11KB
2024-10-25 23:07:12
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
5.65KB
2024-10-25 23:07:12
py3-sphobjinv-2.3.1.2-r0.apk
39.01KB
2024-12-28 20:13:41
py3-sphobjinv-pyc-2.3.1.2-r0.apk
51.34KB
2024-12-28 20:13:41
py3-spidev-3.6-r1.apk
13.50KB
2024-10-25 23:07:12
py3-spin-0.8-r0.apk
18.52KB
2024-10-25 23:07:12
py3-spin-pyc-0.8-r0.apk
24.49KB
2024-10-25 23:07:12
py3-spinners-0.0.24-r5.apk
6.09KB
2024-10-25 23:07:12
py3-spinners-pyc-0.0.24-r5.apk
6.25KB
2024-10-25 23:07:12
py3-spnego-0.10.2-r1.apk
118.92KB
2024-10-25 23:07:12
py3-spnego-pyc-0.10.2-r1.apk
219.10KB
2024-10-25 23:07:12
py3-spotipy-2.24.0-r1.apk
29.95KB
2024-10-25 23:07:12
py3-spotipy-pyc-2.24.0-r1.apk
49.64KB
2024-10-25 23:07:12
py3-sqlmodel-0.0.22-r1.apk
26.32KB
2024-12-07 01:03:45
py3-sqlmodel-pyc-0.0.22-r1.apk
41.18KB
2024-12-07 01:03:45
py3-sstash-0.17-r9.apk
7.72KB
2024-10-25 23:07:12
py3-sstash-pyc-0.17-r9.apk
10.29KB
2024-10-25 23:07:12
py3-stringcase-1.2.0-r8.apk
4.79KB
2024-10-25 23:07:12
py3-stringcase-pyc-1.2.0-r8.apk
3.89KB
2024-10-25 23:07:12
py3-svgpath-6.3-r3.apk
17.07KB
2024-10-25 23:07:12
py3-svgpath-pyc-6.3-r3.apk
21.65KB
2024-10-25 23:07:12
py3-swagger-ui-bundle-1.1.0-r1.apk
2.48MB
2024-10-25 23:07:12
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2.10KB
2024-10-25 23:07:12
py3-synapse-auto-accept-invite-1.2.0-r0.apk
9.84KB
2024-10-25 23:07:12
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk
5.60KB
2024-10-25 23:07:12
py3-tailer-0.4.1-r7.apk
6.87KB
2024-10-25 23:07:12
py3-tailer-pyc-0.4.1-r7.apk
6.63KB
2024-10-25 23:07:12
py3-tasklib-2.5.1-r2.apk
23.10KB
2024-10-25 23:07:12
py3-tasklib-pyc-2.5.1-r2.apk
51.53KB
2024-10-25 23:07:12
py3-telegram-0.18.0-r3.apk
13.59KB
2024-10-25 23:07:12
py3-telegram-bot-21.7-r0.apk
446.20KB
2024-12-01 19:08:17
py3-telegram-bot-pyc-21.7-r0.apk
710.71KB
2024-12-01 19:08:17
py3-telegram-pyc-0.18.0-r3.apk
20.90KB
2024-10-25 23:07:12
py3-telegram-text-0.2.0-r1.apk
9.19KB
2024-10-25 23:07:12
py3-telegram-text-pyc-0.2.0-r1.apk
12.95KB
2024-10-25 23:07:12
py3-telemetrix-1.20-r3.apk
20.94KB
2024-10-25 23:07:12
py3-telemetrix-pyc-1.20-r3.apk
31.04KB
2024-10-25 23:07:12
py3-teletype-1.3.4-r3.apk
15.41KB
2024-10-25 23:07:12
py3-teletype-pyc-1.3.4-r3.apk
20.52KB
2024-10-25 23:07:12
py3-testresources-2.0.1-r6.apk
17.35KB
2024-10-25 23:07:12
py3-testresources-pyc-2.0.1-r6.apk
15.95KB
2024-10-25 23:07:12
py3-textual-0.87.1-r0.apk
567.38KB
2024-12-07 22:22:51
py3-textual-pyc-0.87.1-r0.apk
1.10MB
2024-12-07 22:22:51
py3-tg-0.19.0-r5.apk
73.36KB
2024-10-25 23:07:12
py3-tg-pyc-0.19.0-r5.apk
80.63KB
2024-10-25 23:07:12
py3-thefuzz-0.22.1-r1.apk
10.01KB
2024-10-25 23:07:12
py3-thefuzz-pyc-0.22.1-r1.apk
8.95KB
2024-10-25 23:07:12
py3-ticket-auth-0.1.4-r9.apk
5.95KB
2024-10-25 23:07:12
py3-ticket-auth-pyc-0.1.4-r9.apk
6.38KB
2024-10-25 23:07:12
py3-tidalapi-0.7.4-r1.apk
35.57KB
2024-10-25 23:07:12
py3-tidalapi-pyc-0.7.4-r1.apk
62.21KB
2024-10-25 23:07:12
py3-timeago-1.0.16-r0.apk
23.60KB
2024-10-25 23:07:12
py3-timeago-doc-1.0.16-r0.apk
2.85KB
2024-10-25 23:07:12
py3-timeago-pyc-1.0.16-r0.apk
27.66KB
2024-10-25 23:07:12
py3-tls_parser-2.0.1-r1.apk
9.18KB
2024-10-25 23:07:12
py3-tls_parser-pyc-2.0.1-r1.apk
17.42KB
2024-10-25 23:07:12
py3-tlslite-ng-0.7.6-r8.apk
178.50KB
2024-10-25 23:07:12
py3-tlslite-ng-pyc-0.7.6-r8.apk
274.77KB
2024-10-25 23:07:12
py3-tokenizers-0.21.0-r0.apk
1.59MB
2024-11-27 21:54:43
py3-tokenizers-pyc-0.21.0-r0.apk
28.80KB
2024-11-27 21:54:43
py3-tpm2-pytss-2.3.0-r1.apk
285.77KB
2024-10-25 23:07:12
py3-tpm2-pytss-pyc-2.3.0-r1.apk
236.10KB
2024-10-25 23:07:12
py3-transitions-0.9.2-r0.apk
97.73KB
2024-10-25 23:07:12
py3-transitions-pyc-0.9.2-r0.apk
128.79KB
2024-10-25 23:07:12
py3-translationstring-1.4-r4.apk
9.15KB
2024-10-25 23:07:12
py3-translationstring-pyc-1.4-r4.apk
8.77KB
2024-10-25 23:07:12
py3-trivup-0.12.2-r2.apk
34.02KB
2024-10-25 23:07:12
py3-trivup-pyc-0.12.2-r2.apk
54.91KB
2024-10-25 23:07:12
py3-truststore-0.10.0-r0.apk
17.30KB
2024-10-25 23:07:12
py3-truststore-pyc-0.10.0-r0.apk
25.24KB
2024-10-25 23:07:12
py3-twiggy-0.5.1-r4.apk
24.13KB
2024-10-25 23:07:12
py3-twiggy-pyc-0.5.1-r4.apk
38.68KB
2024-10-25 23:07:12
py3-typing_inspect-0.9.0-r2.apk
9.91KB
2024-10-25 23:07:12
py3-typing_inspect-pyc-0.9.0-r2.apk
14.23KB
2024-10-25 23:07:12
py3-u-msgpack-2.8.0-r2.apk
10.91KB
2024-10-25 23:07:12
py3-u-msgpack-pyc-2.8.0-r2.apk
16.23KB
2024-10-25 23:07:12
py3-uacme-desec-1.2.1-r0.apk
5.70KB
2024-10-25 23:07:12
py3-uacme-desec-doc-1.2.1-r0.apk
2.19KB
2024-10-25 23:07:12
py3-uacme-desec-pyc-1.2.1-r0.apk
6.77KB
2024-10-25 23:07:12
py3-uc-micro-py-1.0.2-r1.apk
9.06KB
2024-10-25 23:07:12
py3-unearth-0.17.2-r0.apk
41.26KB
2024-10-25 23:07:12
py3-unearth-pyc-0.17.2-r0.apk
82.12KB
2024-10-25 23:07:12
py3-unicorn-hat-2.1.2-r6.apk
15.63KB
2024-11-06 11:42:12
py3-unicrypto-0.0.10-r2.apk
60.91KB
2024-10-25 23:07:12
py3-unicrypto-pyc-0.0.10-r2.apk
94.08KB
2024-10-25 23:07:12
py3-unidns-0.0.1-r2.apk
13.55KB
2024-10-25 23:07:12
py3-unidns-examples-0.0.1-r2.apk
2.69KB
2024-10-25 23:07:12
py3-unidns-pyc-0.0.1-r2.apk
21.61KB
2024-10-25 23:07:12
py3-uptime-3.0.1-r9.apk
9.75KB
2024-10-25 23:07:12
py3-uptime-pyc-3.0.1-r9.apk
8.70KB
2024-10-25 23:07:12
py3-urlobject-2.4.3-r9.apk
14.76KB
2024-10-25 23:07:12
py3-urlobject-pyc-2.4.3-r9.apk
24.68KB
2024-10-25 23:07:12
py3-us-3.2.0-r0.apk
14.32KB
2024-10-25 23:07:12
py3-us-pyc-3.2.0-r0.apk
15.19KB
2024-10-25 23:07:12
py3-utc-0.0.3-r9.apk
3.47KB
2024-10-25 23:07:12
py3-utc-pyc-0.0.3-r9.apk
2.76KB
2024-10-25 23:07:12
py3-vatnumber-1.2-r9.apk
18.62KB
2024-10-25 23:07:12
py3-vatnumber-pyc-1.2-r9.apk
8.62KB
2024-10-25 23:07:12
py3-vdf-3.4-r1.apk
11.32KB
2024-10-25 23:07:12
py3-vdf-pyc-3.4-r1.apk
16.55KB
2024-10-25 23:07:12
py3-venusian-3.1.1-r0.apk
14.35KB
2024-12-07 23:14:23
py3-venusian-pyc-3.1.1-r0.apk
12.50KB
2024-12-07 23:14:23
py3-virtualenvwrapper-6.1.0-r1.apk
21.88KB
2024-10-25 23:07:12
py3-virtualenvwrapper-pyc-6.1.0-r1.apk
11.71KB
2024-10-25 23:07:12
py3-visitor-0.1.3-r7.apk
4.62KB
2024-10-25 23:07:12
py3-visitor-pyc-0.1.3-r7.apk
2.62KB
2024-10-25 23:07:12
py3-ward-0.67.0_beta0-r2.apk
40.71KB
2024-10-25 23:07:12
py3-ward-pyc-0.67.0_beta0-r2.apk
79.56KB
2024-10-25 23:07:12
py3-wbdata-1.0.0-r1.apk
18.49KB
2024-10-25 23:07:12
py3-wbdata-pyc-1.0.0-r1.apk
19.57KB
2024-10-25 23:07:12
py3-wg-netns-2.3.1-r1.apk
7.57KB
2024-10-25 23:07:12
py3-wg-netns-pyc-2.3.1-r1.apk
13.39KB
2024-10-25 23:07:12
py3-wgconfig-1.0.3-r0.apk
22.76KB
2024-10-25 23:07:12
py3-wgconfig-pyc-1.0.3-r0.apk
11.57KB
2024-10-25 23:07:12
py3-wifi-0.3.8-r7.apk
13.01KB
2024-10-25 23:07:12
py3-wifi-pyc-0.3.8-r7.apk
13.74KB
2024-10-25 23:07:12
py3-winacl-0.1.9-r0.apk
83.75KB
2024-10-25 23:07:12
py3-winacl-pyc-0.1.9-r0.apk
132.03KB
2024-10-25 23:07:12
py3-wsgiprox-1.5.2-r1.apk
16.68KB
2024-10-25 23:07:12
py3-wsgiprox-pyc-1.5.2-r1.apk
28.17KB
2024-10-25 23:07:12
py3-wstools-0.4.10-r7.apk
53.21KB
2024-10-25 23:07:12
py3-wstools-pyc-0.4.10-r7.apk
110.75KB
2024-10-25 23:07:12
py3-wtf-peewee-3.0.6-r0.apk
12.72KB
2024-10-25 23:07:12
py3-wtf-peewee-pyc-3.0.6-r0.apk
24.66KB
2024-10-25 23:07:12
py3-x-wr-timezone-2.0.0-r0.apk
11.46KB
2024-12-07 00:31:04
py3-x-wr-timezone-pyc-2.0.0-r0.apk
6.93KB
2024-12-07 00:31:04
py3-xapp-2.4.2-r0.apk
33.61KB
2024-11-12 13:04:07
py3-xdoctest-1.2.0-r0.apk
312.50KB
2024-11-21 15:31:25
py3-xlwt-1.3.0-r9.apk
94.61KB
2024-10-25 23:07:12
py3-xlwt-pyc-1.3.0-r9.apk
165.54KB
2024-10-25 23:07:12
py3-xsdata-24.12-r0.apk
188.63KB
2024-12-23 23:55:35
py3-xsdata-pyc-24.12-r0.apk
389.31KB
2024-12-23 23:55:35
py3-yapsy-1.12.2-r7.apk
32.24KB
2024-10-25 23:07:12
py3-yapsy-pyc-1.12.2-r7.apk
47.14KB
2024-10-25 23:07:12
py3-yara-4.5.1-r0.apk
17.27KB
2024-10-25 23:07:12
py3-yosys-0.42-r0.apk
1.81KB
2024-10-25 23:07:12
py3-zimscraperlib-3.4.0-r0.apk
51.62KB
2024-11-06 11:42:12
py3-zimscraperlib-pyc-3.4.0-r0.apk
68.37KB
2024-11-06 11:42:12
py3-zipfile2-0.0.12-r0.apk
45.15KB
2024-10-25 23:07:12
py3-zipfile2-pyc-0.0.12-r0.apk
28.93KB
2024-10-25 23:07:12
py3-zope-configuration-5.0.1-r2.apk
39.14KB
2024-10-25 23:07:12
py3-zope-configuration-pyc-5.0.1-r2.apk
48.52KB
2024-10-25 23:07:12
py3-zope-i18nmessageid-6.1.0-r2.apk
15.34KB
2024-10-25 23:07:12
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
7.99KB
2024-10-25 23:07:12
py3-zope-schema-7.0.1-r3.apk
45.26KB
2024-10-25 23:07:12
py3-zope-schema-pyc-7.0.1-r3.apk
61.07KB
2024-10-25 23:07:12
pyinfra-3.1.1-r0.apk
175.93KB
2024-10-25 23:07:12
pyinfra-pyc-3.1.1-r0.apk
330.15KB
2024-10-25 23:07:12
pympress-1.8.5-r1.apk
181.18KB
2024-10-25 23:07:12
pympress-doc-1.8.5-r1.apk
348.42KB
2024-10-25 23:07:12
pympress-lang-1.8.5-r1.apk
56.29KB
2024-10-25 23:07:12
pympress-pyc-1.8.5-r1.apk
182.19KB
2024-10-25 23:07:12
pyonji-0.1.0-r0.apk
2.62MB
2024-11-21 05:03:49
pypykatz-0.6.10-r0.apk
317.83KB
2024-10-25 23:07:12
pypykatz-pyc-0.6.10-r0.apk
707.69KB
2024-10-25 23:07:12
pyradio-0.9.3.11-r0.apk
870.80KB
2024-10-25 23:07:12
pyradio-doc-0.9.3.11-r0.apk
112.65KB
2024-10-25 23:07:12
pyradio-pyc-0.9.3.11-r0.apk
810.33KB
2024-10-25 23:07:12
qbittorrent-cli-2.1.0-r0.apk
5.15MB
2024-12-25 20:53:26
qdjango-0.6.2-r1.apk
92.54KB
2024-10-25 23:07:12
qdjango-dev-0.6.2-r1.apk
14.05KB
2024-10-25 23:07:12
qflipper-1.3.3-r1.apk
442.62KB
2024-10-25 23:07:12
qflipper-gui-1.3.3-r1.apk
1.04MB
2024-10-25 23:07:12
qoi-0.0.0_git20230312-r0.apk
1.46KB
2024-10-25 23:07:12
qoi-dev-0.0.0_git20230312-r0.apk
6.84KB
2024-10-25 23:07:12
qoiconv-0.0.0_git20230312-r0.apk
28.03KB
2024-10-25 23:07:12
qpdfview-0.5-r1.apk
0.97MB
2024-10-25 23:07:12
qpdfview-doc-0.5-r1.apk
4.27KB
2024-10-25 23:07:12
qperf-0.4.11-r1.apk
32.68KB
2024-10-25 23:07:12
qperf-doc-0.4.11-r1.apk
5.54KB
2024-10-25 23:07:12
qqc2-suru-style-0.20230206-r1.apk
171.22KB
2024-10-25 23:07:12
qsstv-9.5.8-r2.apk
870.75KB
2024-10-25 23:07:12
qstardict-2.0.2-r1.apk
437.90KB
2024-11-24 05:04:20
qstardict-doc-2.0.2-r1.apk
10.64KB
2024-11-24 05:04:20
qsynth-1.0.2-r0.apk
417.54KB
2024-10-25 23:07:12
qsynth-doc-1.0.2-r0.apk
4.37KB
2024-10-25 23:07:12
qt-jdenticon-0.3.1-r0.apk
24.95KB
2024-10-25 23:07:12
qt-jdenticon-doc-0.3.1-r0.apk
2.20KB
2024-10-25 23:07:12
qt5ct-1.8-r0.apk
218.03KB
2024-10-25 23:07:12
qt5ct-dev-1.8-r0.apk
1.49KB
2024-10-25 23:07:12
qt6ct-0.9-r3.apk
188.98KB
2024-12-09 21:40:22
qtile-0.23.0-r2.apk
381.75KB
2024-10-25 23:07:12
qtile-pyc-0.23.0-r2.apk
724.25KB
2024-10-25 23:07:12
qtpass-1.4.0-r0.apk
417.19KB
2024-10-25 23:07:12
qtpass-doc-1.4.0-r0.apk
2.12KB
2024-10-25 23:07:12
quakespasm-0.96.3-r0.apk
465.55KB
2024-10-25 23:07:12
qucs-s-1.1.0-r1.apk
3.17MB
2024-10-25 23:07:12
qucs-s-doc-1.1.0-r1.apk
2.34KB
2024-10-25 23:07:12
qucs-s-lang-1.1.0-r1.apk
864.53KB
2024-10-25 23:07:12
queercat-1.0.0-r0.apk
8.01KB
2024-10-25 23:07:12
quodlibet-4.6.0-r1.apk
1.05MB
2024-10-25 23:07:12
quodlibet-bash-completion-4.6.0-r1.apk
4.68KB
2024-10-25 23:07:12
quodlibet-doc-4.6.0-r1.apk
8.71KB
2024-10-25 23:07:12
quodlibet-lang-4.6.0-r1.apk
1.17MB
2024-10-25 23:07:12
quodlibet-pyc-4.6.0-r1.apk
1.82MB
2024-10-25 23:07:12
quodlibet-zsh-completion-4.6.0-r1.apk
2.73KB
2024-10-25 23:07:12
randrctl-1.10.0-r0.apk
27.93KB
2024-11-20 00:34:17
randrctl-pyc-1.10.0-r0.apk
31.19KB
2024-11-20 00:34:17
rankwidth-0.9-r3.apk
5.12KB
2024-10-25 23:07:12
rankwidth-dev-0.9-r3.apk
2.92KB
2024-10-25 23:07:12
rankwidth-doc-0.9-r3.apk
3.01KB
2024-10-25 23:07:12
rankwidth-libs-0.9-r3.apk
4.80KB
2024-10-25 23:07:12
rankwidth-static-0.9-r3.apk
4.01KB
2024-10-25 23:07:12
rapidfuzz-3.2.0-r0.apk
62.13KB
2024-12-19 00:46:16
raspberrypi-usbboot-20210701-r3.apk
1.45MB
2024-10-25 23:07:13
raspi2png-0.0.20190727-r0.apk
6.17KB
2024-10-25 23:07:13
rathole-0.5.0-r0.apk
1.44MB
2024-10-25 23:07:13
rattler-build-0.18.0-r0.apk
5.99MB
2024-10-25 23:07:13
rattler-build-bash-completion-0.18.0-r0.apk
3.62KB
2024-10-25 23:07:13
rattler-build-doc-0.18.0-r0.apk
6.72KB
2024-10-25 23:07:13
rattler-build-fish-completion-0.18.0-r0.apk
4.72KB
2024-10-25 23:07:13
rattler-build-zsh-completion-0.18.0-r0.apk
5.44KB
2024-10-25 23:07:13
rauc-1.10.1-r0.apk
151.62KB
2024-10-25 23:07:13
rauc-doc-1.10.1-r0.apk
4.14KB
2024-10-25 23:07:13
rauc-service-1.10.1-r0.apk
3.72KB
2024-10-25 23:07:13
razercfg-0.42-r7.apk
71.75KB
2024-10-25 23:07:13
razercfg-gui-0.42-r7.apk
18.97KB
2024-10-25 23:07:13
razercfg-openrc-0.42-r7.apk
1.72KB
2024-10-25 23:07:13
razercfg-pyc-0.42-r7.apk
35.62KB
2024-10-25 23:07:13
rclone-browser-1.8.0-r1.apk
318.57KB
2024-10-25 23:07:13
rcon-cli-1.6.2-r6.apk
2.28MB
2024-10-25 23:07:13
rdedup-3.2.1-r5.apk
831.04KB
2024-10-25 23:07:13
rdrview-0.1.2-r0.apk
25.90KB
2024-10-25 23:07:13
rdrview-doc-0.1.2-r0.apk
3.71KB
2024-10-25 23:07:13
reaction-1.4.1-r1.apk
1.91MB
2024-10-25 23:07:13
reaction-openrc-1.4.1-r1.apk
1.85KB
2024-10-25 23:07:13
reaction-tools-1.4.1-r1.apk
19.62KB
2024-10-25 23:07:13
readosm-1.1.0-r2.apk
13.62KB
2024-10-25 23:07:13
readosm-dev-1.1.0-r2.apk
18.19KB
2024-10-25 23:07:13
reason-3.8.2-r1.apk
18.35MB
2024-10-25 23:07:13
reason-rtop-3.8.2-r1.apk
24.27MB
2024-10-25 23:07:13
reaver-wps-fork-t6x-1.6.6-r1.apk
371.33KB
2024-10-25 23:07:13
recoll-1.37.5-r1.apk
2.70MB
2024-10-25 23:07:14
recoll-dev-1.37.5-r1.apk
53.05KB
2024-10-25 23:07:14
recoll-doc-1.37.5-r1.apk
21.14KB
2024-10-25 23:07:14
refine-0.4.0-r0.apk
25.51KB
2025-01-12 19:48:07
reg-0.16.1-r23.apk
4.07MB
2024-10-25 23:07:14
regal-0.29.2-r0.apk
9.42MB
2024-12-14 21:00:57
regal-bash-completion-0.29.2-r0.apk
5.01KB
2024-12-14 21:00:57
regal-fish-completion-0.29.2-r0.apk
4.26KB
2024-12-14 21:00:57
regal-zsh-completion-0.29.2-r0.apk
3.98KB
2024-12-14 21:00:57
regclient-0.7.1-r0.apk
12.17MB
2024-10-25 23:07:14
remake-1.5-r1.apk
137.25KB
2024-10-25 23:07:14
remake-dev-1.5-r1.apk
2.93KB
2024-10-25 23:07:14
remake-doc-1.5-r1.apk
201.65KB
2024-10-25 23:07:14
remake-make-1.5-r1.apk
1.53KB
2024-10-25 23:07:14
remind-caldav-0.8.0-r4.apk
17.68KB
2024-10-25 23:07:14
remind-caldav-pyc-0.8.0-r4.apk
6.14KB
2024-10-25 23:07:14
repgrep-0.15.0-r0.apk
1.20MB
2024-10-25 23:07:14
repgrep-bash-completion-0.15.0-r0.apk
1.61KB
2024-10-25 23:07:14
repgrep-doc-0.15.0-r0.apk
6.47KB
2024-10-25 23:07:14
repgrep-fish-completion-0.15.0-r0.apk
4.12KB
2024-10-25 23:07:14
repgrep-zsh-completion-0.15.0-r0.apk
1.62KB
2024-10-25 23:07:14
repo-2.50.1-r0.apk
16.78KB
2024-12-20 22:12:35
repo-doc-2.50.1-r0.apk
38.45KB
2024-12-20 22:12:35
repowerd-2023.07-r2.apk
842.44KB
2024-10-25 23:07:14
repowerd-openrc-2023.07-r2.apk
1.72KB
2024-10-25 23:07:14
reprotest-0.7.29-r0.apk
80.18KB
2024-12-23 06:07:21
reprotest-pyc-0.7.29-r0.apk
102.92KB
2024-12-23 06:07:21
reredirect-0.3-r0.apk
7.69KB
2024-10-25 23:07:14
reredirect-doc-0.3-r0.apk
2.84KB
2024-10-25 23:07:14
resources-1.6.0-r1.apk
2.30MB
2024-10-25 23:07:14
resources-lang-1.6.0-r1.apk
91.66KB
2024-10-25 23:07:14
responder-3.1.5.0-r0.apk
749.99KB
2024-10-25 23:07:14
restart-services-0.17.0-r0.apk
11.51KB
2024-10-25 23:07:14
restart-services-doc-0.17.0-r0.apk
5.88KB
2024-10-25 23:07:14
restic.mk-0.4.0-r0.apk
2.84KB
2024-11-21 05:03:49
restinio-0.6.19-r1.apk
1.23KB
2024-12-14 20:56:25
restinio-dev-0.6.19-r1.apk
267.85KB
2024-12-14 20:56:25
rezolus-2.11.1-r3.apk
887.77KB
2024-10-25 23:07:14
rezolus-doc-2.11.1-r3.apk
3.36KB
2024-10-25 23:07:14
rezolus-openrc-2.11.1-r3.apk
2.07KB
2024-10-25 23:07:14
rgxg-0.1.2-r2.apk
14.52KB
2024-10-25 23:07:14
rgxg-dev-0.1.2-r2.apk
3.52KB
2024-10-25 23:07:14
rgxg-doc-0.1.2-r2.apk
12.32KB
2024-10-25 23:07:14
rhasspy-nlu-0.4.0-r3.apk
43.59KB
2024-10-25 23:07:14
rhasspy-nlu-pyc-0.4.0-r3.apk
72.86KB
2024-10-25 23:07:14
riemann-cli-0.8.0-r2.apk
530.46KB
2024-10-25 23:07:14
rime-ls-0.4.1-r0.apk
1.16MB
2024-12-25 17:40:10
rinetd-0.73-r0.apk
13.62KB
2024-10-25 23:07:14
rinetd-doc-0.73-r0.apk
16.42KB
2024-10-25 23:07:14
rinetd-openrc-0.73-r0.apk
1.69KB
2024-10-25 23:07:14
rio-0.2.2-r0.apk
9.49MB
2024-11-25 00:44:42
rio-doc-0.2.2-r0.apk
2.22KB
2024-11-25 00:44:42
rio-terminfo-0.2.2-r0.apk
3.35KB
2024-11-25 00:44:42
ripdrag-0.4.10-r0.apk
341.54KB
2024-10-25 23:07:14
river-luatile-0.1.3-r0.apk
419.04KB
2024-10-25 23:07:14
river-shifttags-0.2.1-r0.apk
6.11KB
2024-10-25 23:07:14
river-shifttags-doc-0.2.1-r0.apk
2.34KB
2024-10-25 23:07:14
rizin-0.6.3-r1.apk
2.65MB
2024-10-25 23:07:14
rizin-dev-0.6.3-r1.apk
306.11KB
2024-10-25 23:07:14
rizin-doc-0.6.3-r1.apk
17.65KB
2024-10-25 23:07:14
rizin-libs-0.6.3-r1.apk
3.99MB
2024-10-25 23:07:14
rkdeveloptool-1.1.0-r1.apk
58.35KB
2024-10-25 23:07:14
rkdeveloptool-doc-1.1.0-r1.apk
2.95KB
2024-10-25 23:07:14
rke-1.4.3-r10.apk
19.23MB
2024-10-25 23:07:15
rke-doc-1.4.3-r10.apk
2.96KB
2024-10-25 23:07:15
rmlint-2.10.2-r2.apk
140.94KB
2024-10-25 23:07:15
rmlint-doc-2.10.2-r2.apk
17.81KB
2024-10-25 23:07:15
rmlint-lang-2.10.2-r2.apk
18.90KB
2024-10-25 23:07:15
rmlint-shredder-2.10.2-r2.apk
95.96KB
2024-10-25 23:07:15
rmlint-shredder-pyc-2.10.2-r2.apk
124.50KB
2024-10-25 23:07:15
rofi-blocks-0.1.0-r0.apk
10.64KB
2024-10-25 23:07:15
rofi-json-menu-0.2.0-r1.apk
4.88KB
2024-10-25 23:07:15
rofi-pass-2.0.2-r2.apk
8.84KB
2024-10-25 23:07:15
rofi-pass-doc-2.0.2-r2.apk
4.95KB
2024-10-25 23:07:15
rook-0.2.0-r1.apk
1.80MB
2024-12-25 20:53:26
rook-autotype-0.2.0-r1.apk
3.69KB
2024-12-25 20:53:26
rook-doc-0.2.0-r1.apk
23.30KB
2024-12-25 20:53:26
rook-getattr-0.2.0-r1.apk
2.65KB
2024-12-25 20:53:26
rosdep-0.19.0-r6.apk
66.13KB
2024-10-25 23:07:15
rosdep-pyc-0.19.0-r6.apk
119.00KB
2024-10-25 23:07:15
roswell-24.10.115-r0.apk
110.09KB
2024-10-25 23:07:15
roswell-doc-24.10.115-r0.apk
18.17KB
2024-10-25 23:07:15
rpg-cli-1.2.0-r0.apk
604.67KB
2024-10-25 23:07:15
rpi-imager-1.9.0-r0.apk
691.56KB
2024-10-25 23:07:15
rpi-imager-doc-1.9.0-r0.apk
3.07KB
2024-10-25 23:07:15
rpicam-apps-1.5.0-r1.apk
408.24KB
2024-10-25 23:07:15
rpicam-apps-doc-1.5.0-r1.apk
2.31KB
2024-10-25 23:07:15
rss-email-0.5.0-r0.apk
2.03MB
2024-10-25 23:07:15
rss-email-doc-0.5.0-r0.apk
6.43KB
2024-10-25 23:07:15
rsstail-2.1-r1.apk
7.72KB
2024-10-25 23:07:15
rsstail-doc-2.1-r1.apk
2.61KB
2024-10-25 23:07:15
rtl-power-fftw-20200601-r4.apk
59.71KB
2024-10-25 23:07:15
rtl-power-fftw-doc-20200601-r4.apk
8.16KB
2024-10-25 23:07:15
rtl88x2bu-src-5.13.1_git20230711-r0.apk
4.00MB
2024-10-25 23:07:15
rtmidi-6.0.0-r0.apk
28.74KB
2024-10-25 23:07:15
rtmidi-dev-6.0.0-r0.apk
14.09KB
2024-10-25 23:07:15
rtptools-1.22-r2.apk
39.93KB
2024-10-25 23:07:15
rtptools-doc-1.22-r2.apk
12.61KB
2024-10-25 23:07:15
rtw89-src-7_p20230725-r0.apk
758.74KB
2024-10-25 23:07:15
ruby-base64-0.2.0-r0.apk
5.20KB
2024-10-25 23:07:15
ruby-build-20241225.2-r0.apk
88.28KB
2025-01-09 08:30:09
ruby-build-doc-20241225.2-r0.apk
4.74KB
2025-01-09 08:30:09
ruby-build-runtime-20241225.2-r0.apk
1.25KB
2025-01-09 08:30:09
ruby-docile-1.4.1-r0.apk
5.96KB
2024-10-25 23:07:15
ruby-docile-doc-1.4.1-r0.apk
2.19KB
2024-10-25 23:07:15
ruby-libguestfs-1.52.0-r1.apk
106.24KB
2024-10-25 23:07:15
ruby-notify-0.5.2-r0.apk
6.07KB
2024-10-25 23:07:15
ruby-notify-doc-0.5.2-r0.apk
2.17KB
2024-10-25 23:07:15
ruby-rainbow-3.1.1-r0.apk
8.17KB
2024-10-25 23:07:15
ruby-simplecov-0.22.0-r0.apk
30.75KB
2024-10-25 23:07:15
ruby-simplecov-cobertura-2.1.0-r0.apk
2.25KB
2024-10-25 23:07:15
ruby-simplecov-doc-0.22.0-r0.apk
4.07KB
2024-10-25 23:07:15
ruby-simplecov-html-0.13.1-r0.apk
4.42KB
2024-10-25 23:07:15
ruby-simplecov-html-doc-0.13.1-r0.apk
2.20KB
2024-10-25 23:07:15
ruby-simplecov_json_formatter-0.1.4-r0.apk
3.50KB
2024-10-25 23:07:15
ruby-simplecov_json_formatter-doc-0.1.4-r0.apk
2.23KB
2024-10-25 23:07:15
ruby-syslog-0.2.0-r0.apk
8.66KB
2024-12-14 21:10:20
ruby-yard-0.9.37-r0.apk
595.61KB
2024-10-25 23:07:15
ruby-yard-doc-0.9.37-r0.apk
48.40KB
2024-10-25 23:07:15
ruff-lsp-0.0.53-r0.apk
21.33KB
2024-10-25 23:07:15
ruff-lsp-pyc-0.0.53-r0.apk
34.67KB
2024-10-25 23:07:15
runst-0.1.7-r0.apk
1.61MB
2024-10-25 23:07:15
runst-doc-0.1.7-r0.apk
7.69KB
2024-10-25 23:07:15
rustdesk-server-1.1.10.3-r0.apk
2.41MB
2024-10-25 23:07:15
rustdesk-server-openrc-1.1.10.3-r0.apk
2.22KB
2024-10-25 23:07:15
rustic-0.9.3-r0.apk
6.21MB
2024-10-25 23:07:15
rustic-bash-completion-0.9.3-r0.apk
8.61KB
2024-10-25 23:07:15
rustic-fish-completion-0.9.3-r0.apk
16.67KB
2024-10-25 23:07:15
rustic-zsh-completion-0.9.3-r0.apk
13.03KB
2024-10-25 23:07:15
ruuvi-prometheus-0.1.7-r5.apk
3.23MB
2024-10-25 23:07:15
ruuvi-prometheus-openrc-0.1.7-r5.apk
1.66KB
2024-10-25 23:07:15
rvlprog-0.91-r2.apk
24.88KB
2024-10-25 23:07:15
ry-0.5.2-r1.apk
4.62KB
2024-10-25 23:07:15
ry-bash-completion-0.5.2-r1.apk
1.95KB
2024-10-25 23:07:15
ry-zsh-completion-0.5.2-r1.apk
2.26KB
2024-10-25 23:07:15
rygel-0.44.1-r0.apk
741.70KB
2024-12-01 14:57:31
rygel-dev-0.44.1-r0.apk
42.60KB
2024-12-01 14:57:31
rygel-doc-0.44.1-r0.apk
9.61KB
2024-12-01 14:57:31
rygel-lang-0.44.1-r0.apk
567.18KB
2024-12-01 14:57:31
s-dkim-sign-0.6.2-r0.apk
52.32KB
2024-10-25 23:07:15
s-dkim-sign-doc-0.6.2-r0.apk
8.52KB
2024-10-25 23:07:15
s-postgray-0.8.3-r0.apk
42.70KB
2024-10-25 23:07:15
s-postgray-doc-0.8.3-r0.apk
9.58KB
2024-10-25 23:07:15
s5cmd-2.2.2-r5.apk
4.69MB
2024-10-25 23:07:15
saait-0.8-r0.apk
7.11KB
2024-10-25 23:07:15
saait-doc-0.8-r0.apk
12.70KB
2024-10-25 23:07:15
sacc-1.07-r0.apk
14.90KB
2024-10-25 23:07:15
sacc-doc-1.07-r0.apk
2.84KB
2024-10-25 23:07:15
sandbar-0.1-r0.apk
14.56KB
2024-10-25 23:07:15
sane-airscan-0.99.31-r0.apk
191.42KB
2025-01-12 15:30:28
sane-airscan-doc-0.99.31-r0.apk
5.61KB
2025-01-12 15:30:28
satellite-1.0.0-r23.apk
1.86MB
2024-10-25 23:07:15
satellite-doc-1.0.0-r23.apk
3.02KB
2024-10-25 23:07:15
satellite-openrc-1.0.0-r23.apk
1.93KB
2024-10-25 23:07:15
sauerbraten-2020.12.29-r3.apk
933.94MB
2024-10-25 23:07:30
sbase-0_git20210730-r3.apk
120.09KB
2024-10-25 23:07:30
sbase-doc-0_git20210730-r3.apk
58.24KB
2024-10-25 23:07:30
sblg-0.5.11-r0.apk
36.52KB
2024-10-25 23:07:30
sblg-doc-0.5.11-r0.apk
1.35MB
2024-10-25 23:07:30
sblim-sfcc-2.2.8-r3.apk
51.17KB
2024-10-25 23:07:30
sblim-sfcc-dev-2.2.8-r3.apk
22.26KB
2024-10-25 23:07:30
sblim-sfcc-doc-2.2.8-r3.apk
34.58KB
2024-10-25 23:07:30
sblim-wbemcli-1.6.3-r1.apk
94.95KB
2024-10-25 23:07:30
sblim-wbemcli-doc-1.6.3-r1.apk
4.53KB
2024-10-25 23:07:30
sc-controller-0.4.8.13-r1.apk
1.87MB
2024-10-25 23:07:31
sc-controller-pyc-0.4.8.13-r1.apk
873.00KB
2024-10-25 23:07:31
sc-im-0.8.4-r0.apk
168.64KB
2024-10-25 23:07:31
sc-im-doc-0.8.4-r0.apk
4.74KB
2024-10-25 23:07:31
sc3-plugins-3.13.0-r1.apk
8.85MB
2024-10-25 23:07:31
scalingo-1.30.0-r5.apk
4.84MB
2024-10-25 23:07:31
schismtracker-20231029-r0.apk
377.52KB
2024-10-25 23:07:31
schismtracker-doc-20231029-r0.apk
6.22KB
2024-10-25 23:07:31
sciteco-2.3.0-r0.apk
1.19MB
2024-12-29 10:41:38
sciteco-common-2.3.0-r0.apk
149.76KB
2024-12-29 10:41:38
sciteco-doc-2.3.0-r0.apk
110.64KB
2024-12-29 10:41:38
sciteco-gtk-2.3.0-r0.apk
1.35MB
2024-12-29 10:41:38
scooper-1.3-r1.apk
474.35KB
2024-10-25 23:07:31
scooper-doc-1.3-r1.apk
2.59KB
2024-10-25 23:07:31
screenkey-1.5-r6.apk
76.99KB
2024-10-25 23:07:31
screenkey-doc-1.5-r6.apk
11.02KB
2024-10-25 23:07:31
screenkey-pyc-1.5-r6.apk
73.36KB
2024-10-25 23:07:31
scrypt-1.3.2-r0.apk
27.29KB
2024-10-25 23:07:31
scrypt-doc-1.3.2-r0.apk
4.26KB
2024-10-25 23:07:31
sct-2018.12.18-r1.apk
3.50KB
2024-10-25 23:07:31
sdl3-3.1.6-r0.apk
751.09KB
2024-11-02 22:28:49
sdl3-dbg-3.1.6-r0.apk
3.83MB
2024-11-02 22:28:49
sdl3-dev-3.1.6-r0.apk
25.36MB
2024-11-02 22:28:50
sdl3-doc-3.1.6-r0.apk
2.07KB
2024-11-02 22:28:50
sdparm-1.12-r1.apk
142.82KB
2024-10-25 23:07:33
sdparm-doc-1.12-r1.apk
19.33KB
2024-10-25 23:07:33
seaweedfs-3.80-r0.apk
22.84MB
2025-01-05 19:30:21
seaweedfs-doc-3.80-r0.apk
13.57KB
2025-01-05 19:30:21
seaweedfs-openrc-3.80-r0.apk
1.85KB
2025-01-05 19:30:21
secsipidx-1.3.2-r7.apk
2.33MB
2024-10-25 23:07:33
secsipidx-dev-1.3.2-r7.apk
4.26MB
2024-10-25 23:07:33
secsipidx-libs-1.3.2-r7.apk
2.07MB
2024-10-25 23:07:33
sedutil-1.15.1-r1.apk
185.80KB
2024-10-25 23:07:33
sedutil-doc-1.15.1-r1.apk
3.07KB
2024-10-25 23:07:33
sentinel-minipot-2.3.0-r1.apk
43.48KB
2024-10-25 23:07:33
sentinel-minipot-openrc-2.3.0-r1.apk
2.58KB
2024-10-25 23:07:33
sentinel-proxy-2.1.0-r0.apk
39.29KB
2024-10-25 23:07:33
sentinel-proxy-dev-2.1.0-r0.apk
4.40KB
2024-10-25 23:07:33
sentinel-proxy-openrc-2.1.0-r0.apk
2.27KB
2024-10-25 23:07:33
serialdv-1.1.4-r0.apk
6.57KB
2024-10-25 23:07:33
serialdv-dev-1.1.4-r0.apk
5.41KB
2024-10-25 23:07:33
serialdv-libs-1.1.4-r0.apk
52.20KB
2024-10-25 23:07:33
setroot-2.0.2-r1.apk
11.24KB
2024-10-25 23:07:33
setroot-doc-2.0.2-r1.apk
4.45KB
2024-10-25 23:07:33
sflowtool-6.02-r0.apk
44.05KB
2024-10-25 23:07:33
sflowtool-doc-6.02-r0.apk
9.33KB
2024-10-25 23:07:33
sfwbar-1.0_beta16-r1.apk
264.77KB
2024-12-12 21:02:35
sfwbar-doc-1.0_beta16-r1.apk
26.45KB
2024-12-12 21:02:35
sgt-puzzles-0_git20230310-r2.apk
2.67MB
2024-10-25 23:07:33
shc-4.0.3-r2.apk
18.57KB
2024-10-25 23:07:33
shellinabox-2.21-r3.apk
120.88KB
2024-10-25 23:07:33
shellinabox-doc-2.21-r3.apk
19.36KB
2024-10-25 23:07:33
shellinabox-openrc-2.21-r3.apk
3.52KB
2024-10-25 23:07:33
shfm-0.4.2-r1.apk
4.04KB
2024-10-25 23:07:33
shfm-doc-0.4.2-r1.apk
6.14KB
2024-10-25 23:07:33
shine-3.1.1-r0.apk
54.69KB
2024-10-25 23:07:33
shipments-0.3.0-r0.apk
23.47KB
2024-10-25 23:07:33
shntool-3.0.10-r4.apk
58.36KB
2024-10-25 23:07:33
shntool-doc-3.0.10-r4.apk
10.33KB
2024-10-25 23:07:33
showtime-47.0-r0.apk
43.89KB
2024-11-08 01:49:33
showtime-lang-47.0-r0.apk
36.17KB
2024-11-08 01:49:33
shutdown-clear-machine-id-1.0.0-r0.apk
1.79KB
2024-10-25 23:07:33
sigma-0.23.1-r1.apk
236.78KB
2024-10-25 23:07:33
sigma-pyc-0.23.1-r1.apk
340.02KB
2024-10-25 23:07:33
sigrok-cli-0.7.2-r0.apk
38.15KB
2024-10-25 23:07:33
sigrok-cli-doc-0.7.2-r0.apk
7.99KB
2024-10-25 23:07:33
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
13.07KB
2024-10-25 23:07:33
silc-client-1.1.11-r17.apk
797.86KB
2024-10-25 23:07:33
silc-client-doc-1.1.11-r17.apk
82.77KB
2024-10-25 23:07:33
simgear-2020.3.19-r1.apk
1.65MB
2024-10-25 23:07:33
simgear-dev-2020.3.19-r1.apk
394.24KB
2024-10-25 23:07:33
simh-3.11.1-r1.apk
2.79MB
2024-10-25 23:07:33
simp1e-cursors-0_git20211003-r0.apk
741.10KB
2024-10-25 23:07:33
simp1e-cursors-breeze-0_git20211003-r0.apk
857.11KB
2024-10-25 23:07:33
simp1e-cursors-dark-0_git20211003-r0.apk
779.70KB
2024-10-25 23:07:33
simp1e-cursors-snow-0_git20211003-r0.apk
827.93KB
2024-10-25 23:07:33
simp1e-cursors-solarized-0_git20211003-r0.apk
717.41KB
2024-10-25 23:07:33
simpleble-0.6.1-r2.apk
1.17KB
2024-12-14 20:56:25
simpleble-dev-0.6.1-r2.apk
19.25KB
2024-12-14 20:56:25
sing-box-1.10.5-r0.apk
10.90MB
2024-12-23 06:08:23
sing-box-bash-completion-1.10.5-r0.apk
5.09KB
2024-12-23 06:08:23
sing-box-fish-completion-1.10.5-r0.apk
4.29KB
2024-12-23 06:08:23
sing-box-openrc-1.10.5-r0.apk
2.02KB
2024-12-23 06:08:23
sing-box-zsh-completion-1.10.5-r0.apk
4.01KB
2024-12-23 06:08:23
sing-geoip-20241212-r0.apk
1.61MB
2024-12-23 06:08:23
sing-geosite-20241221105938-r0.apk
1.08MB
2024-12-23 06:08:23
singular-4.4.0-r0.apk
9.71MB
2024-10-25 23:07:34
singular-dev-4.4.0-r0.apk
371.30KB
2024-10-25 23:07:34
singular-doc-4.4.0-r0.apk
1.32MB
2024-10-25 23:07:34
singular-emacs-4.4.0-r0.apk
101.05KB
2024-10-25 23:07:34
singular-static-4.4.0-r0.apk
4.98MB
2024-10-25 23:07:34
sipexer-1.1.0-r8.apk
2.39MB
2024-10-25 23:07:34
sipgrep-2.2.0-r1.apk
26.02KB
2024-10-25 23:07:34
siril-1.2.5-r0.apk
2.71MB
2024-11-22 11:37:06
siril-doc-1.2.5-r0.apk
17.71KB
2024-11-22 11:37:06
siril-lang-1.2.5-r0.apk
1.55MB
2024-11-22 11:37:06
sish-2.16.1-r0.apk
7.57MB
2025-01-13 00:28:26
sish-openrc-2.16.1-r0.apk
1.85KB
2025-01-13 00:28:26
slidge-0.1.3-r0.apk
144.61KB
2024-10-25 23:07:34
slidge-doc-0.1.3-r0.apk
4.54KB
2024-10-25 23:07:34
slidge-matridge-0.1.0-r0.apk
29.69KB
2024-10-25 23:07:34
slidge-matridge-openrc-0.1.0-r0.apk
1.51KB
2024-10-25 23:07:34
slidge-matridge-pyc-0.1.0-r0.apk
37.92KB
2024-10-25 23:07:34
slidge-openrc-0.1.3-r0.apk
2.32KB
2024-10-25 23:07:34
slidge-pyc-0.1.3-r0.apk
285.01KB
2024-10-25 23:07:34
sloccount-2.26-r3.apk
58.67KB
2024-10-25 23:07:34
sloccount-doc-2.26-r3.apk
59.37KB
2024-10-25 23:07:34
slurm-0.4.4-r0.apk
14.51KB
2024-10-25 23:07:34
slurm-doc-0.4.4-r0.apk
2.26KB
2024-10-25 23:07:34
smassh-3.1.6-r0.apk
72.40KB
2024-11-21 04:56:53
smassh-pyc-3.1.6-r0.apk
70.23KB
2024-11-21 04:56:53
smile-2.9.5-r0.apk
693.71KB
2024-10-25 23:07:34
smile-lang-2.9.5-r0.apk
23.71KB
2024-10-25 23:07:34
smplxmpp-0.9.3-r4.apk
141.92KB
2024-12-18 13:47:35
smplxmpp-doc-0.9.3-r4.apk
24.78KB
2024-12-18 13:47:35
snapper-0.12.0-r0.apk
925.26KB
2024-11-20 00:34:17
snapper-bash-completion-0.12.0-r0.apk
3.05KB
2024-11-20 00:34:17
snapper-dev-0.12.0-r0.apk
10.33KB
2024-11-20 00:34:17
snapper-doc-0.12.0-r0.apk
24.79KB
2024-11-20 00:34:17
snapper-lang-0.12.0-r0.apk
180.18KB
2024-11-20 00:34:17
snapper-zsh-completion-0.12.0-r0.apk
3.51KB
2024-11-20 00:34:17
snapraid-12.3-r0.apk
264.61KB
2024-10-25 23:07:34
snapraid-doc-12.3-r0.apk
17.26KB
2024-10-25 23:07:34
sndfile-tools-1.5-r1.apk
37.36KB
2024-10-25 23:07:34
sndfile-tools-doc-1.5-r1.apk
360.97KB
2024-10-25 23:07:34
snippets-ls-0.0.4_git20240617-r0.apk
1.28MB
2024-11-22 23:48:42
snore-0.3.1-r0.apk
4.89KB
2024-10-25 23:07:34
snore-doc-0.3.1-r0.apk
3.05KB
2024-10-25 23:07:34
so-0.4.10-r0.apk
2.07MB
2024-10-25 23:07:34
soapy-bladerf-0.4.2-r0.apk
48.51KB
2024-12-31 03:11:49
soapy-hackrf-0.3.4-r2.apk
27.21KB
2024-10-25 23:07:34
soapy-sdr-remote-0.5.2-r1.apk
185.52KB
2024-10-25 23:07:34
soapy-sdr-remote-doc-0.5.2-r1.apk
2.35KB
2024-10-25 23:07:34
soapy-sdr-remote-openrc-0.5.2-r1.apk
1.69KB
2024-10-25 23:07:34
solanum-5.0.0-r0.apk
255.90KB
2024-10-25 23:07:34
solanum-lang-5.0.0-r0.apk
40.28KB
2024-10-25 23:07:34
solarus-engine-1.7.0-r0.apk
1.91MB
2024-10-25 23:07:34
solarus-engine-doc-1.7.0-r0.apk
3.35KB
2024-10-25 23:07:34
somebar-1.0.3-r0.apk
33.95KB
2024-10-25 23:07:34
somebar-doc-1.0.3-r0.apk
2.42KB
2024-10-25 23:07:34
sopwith-2.5.0-r0.apk
48.16KB
2024-10-25 23:07:34
sopwith-doc-2.5.0-r0.apk
14.87KB
2024-10-25 23:07:34
soqt-1.6.3-r0.apk
214.57KB
2024-12-13 22:44:07
soqt-dev-1.6.3-r0.apk
83.87KB
2024-12-13 22:44:07
soqt-doc-1.6.3-r0.apk
881.17KB
2024-12-13 22:44:07
sos-0.8-r26.apk
2.35MB
2024-10-25 23:07:34
soundconverter-4.0.6-r0.apk
164.67KB
2024-11-12 17:33:21
soundconverter-doc-4.0.6-r0.apk
4.48KB
2024-11-12 17:33:21
soundconverter-lang-4.0.6-r0.apk
106.83KB
2024-11-12 17:33:21
soundconverter-pyc-4.0.6-r0.apk
74.84KB
2024-11-12 17:33:21
soundfont-vintage-dreams-waves-2.1-r2.apk
90.80KB
2024-10-25 23:07:34
soundfont-vintage-dreams-waves-doc-2.1-r2.apk
2.17KB
2024-10-25 23:07:34
spacectl-1.0.0-r1.apk
4.73MB
2024-10-25 23:07:34
spacectl-bash-completion-1.0.0-r1.apk
2.04KB
2024-10-25 23:07:34
spacectl-doc-1.0.0-r1.apk
2.28KB
2024-10-25 23:07:34
spacectl-fish-completion-1.0.0-r1.apk
6.05KB
2024-10-25 23:07:34
spacectl-zsh-completion-1.0.0-r1.apk
1.80KB
2024-10-25 23:07:34
spacer-0.3.0-r0.apk
0.96MB
2024-12-25 00:52:03
spacer-doc-0.3.0-r0.apk
3.01KB
2024-12-25 00:52:03
spampd-2.61-r1.apk
38.55KB
2024-10-25 23:07:34
spampd-openrc-2.61-r1.apk
2.09KB
2024-10-25 23:07:34
spark-2.8.3-r1.apk
28.93MB
2024-10-25 23:07:35
speedcrunch-0.12-r3.apk
1.08MB
2024-10-25 23:07:35
speedtest-5.2.5-r1.apk
253.46KB
2024-10-25 23:07:35
speedtest-doc-5.2.5-r1.apk
18.39KB
2024-10-25 23:07:35
speedtest-examples-5.2.5-r1.apk
12.95KB
2024-10-25 23:07:35
speedtest-go-1.1.5-r10.apk
4.93MB
2024-10-25 23:07:35
speedtest-go-doc-1.1.5-r10.apk
4.50KB
2024-10-25 23:07:35
speedtest-go-openrc-1.1.5-r10.apk
1.72KB
2024-10-25 23:07:35
speedtest_exporter-0.3.2-r10.apk
3.40MB
2024-10-25 23:07:35
speedtest_exporter-openrc-0.3.2-r10.apk
1.88KB
2024-10-25 23:07:35
spice-html5-0.3.0-r1.apk
438.45KB
2024-10-25 23:07:35
spike-1.1.0-r0.apk
0.99MB
2024-10-25 23:07:35
spiritvnc-0.6.5-r0.apk
49.12KB
2024-11-03 07:09:52
spnavcfg-1.1-r0.apk
35.16KB
2024-10-25 23:07:35
spotify-tui-0.25.0-r2.apk
1.86MB
2024-10-25 23:07:35
spread-sheet-widget-0.10-r0.apk
42.21KB
2024-10-25 23:07:35
spread-sheet-widget-dbg-0.10-r0.apk
193.79KB
2024-10-25 23:07:35
spread-sheet-widget-dev-0.10-r0.apk
341.40KB
2024-10-25 23:07:35
spread-sheet-widget-doc-0.10-r0.apk
4.64KB
2024-10-25 23:07:35
spvm-errno-0.092-r1.apk
15.54KB
2024-10-25 23:07:35
spvm-errno-doc-0.092-r1.apk
5.92KB
2024-10-25 23:07:35
spvm-math-1.001-r1.apk
19.41KB
2024-10-25 23:07:35
spvm-math-doc-1.001-r1.apk
6.15KB
2024-10-25 23:07:35
spvm-mime-base64-1.001003-r1.apk
13.61KB
2024-10-25 23:07:35
spvm-mime-base64-doc-1.001003-r1.apk
5.41KB
2024-10-25 23:07:35
spvm-thread-0.002-r0.apk
10.52KB
2024-11-25 10:04:30
spvm-thread-doc-0.002-r0.apk
5.80KB
2024-11-25 10:04:30
sqawk-0.24.0-r0.apk
14.35KB
2024-10-25 23:07:35
sqawk-doc-0.24.0-r0.apk
113.15KB
2024-10-25 23:07:35
sqlar-0_git20180107-r1.apk
14.77KB
2024-10-25 23:07:35
sqlar-doc-0_git20180107-r1.apk
3.31KB
2024-10-25 23:07:35
sqliteodbc-0.99991-r0.apk
89.13KB
2024-10-25 23:07:35
sqlmap-1.8.11-r0.apk
6.77MB
2024-11-10 00:24:56
sqlmap-pyc-1.8.11-r0.apk
1.16MB
2024-11-10 00:24:56
sqm-scripts-1.6.0-r0.apk
20.45KB
2024-10-25 23:07:35
srain-1.8.0-r0.apk
151.74KB
2024-11-20 00:34:17
srain-lang-1.8.0-r0.apk
35.05KB
2024-11-20 00:34:17
sregex-0.0.1-r1.apk
19.14KB
2024-10-25 23:07:35
sregex-dev-0.0.1-r1.apk
23.65KB
2024-10-25 23:07:35
ssdfs-tools-4.09-r0.apk
109.43KB
2024-10-25 23:07:35
ssdfs-tools-dev-4.09-r0.apk
18.46KB
2024-10-25 23:07:35
ssh-cert-authority-2.0.0-r21.apk
4.42MB
2024-10-25 23:07:35
ssh-honeypot-0.1.1-r1.apk
8.30KB
2024-10-25 23:07:35
ssh-honeypot-openrc-0.1.1-r1.apk
2.06KB
2024-10-25 23:07:35
ssh-tools-1.8-r0.apk
25.58KB
2024-10-25 23:07:35
sshsrv-1.0-r7.apk
887.93KB
2024-10-25 23:07:35
sshuttle-1.1.2-r0.apk
62.25KB
2024-10-25 23:07:35
sshuttle-doc-1.1.2-r0.apk
8.48KB
2024-10-25 23:07:35
sshuttle-pyc-1.1.2-r0.apk
100.70KB
2024-10-25 23:07:35
ssss-0.5.7-r0.apk
11.66KB
2024-10-25 23:07:35
ssss-doc-0.5.7-r0.apk
3.34KB
2024-10-25 23:07:35
sstp-client-1.0.20-r1.apk
39.78KB
2024-12-01 17:37:27
sstp-client-doc-1.0.20-r1.apk
4.80KB
2024-12-01 17:37:27
stardict-3.0.6-r6.apk
923.34KB
2024-10-25 23:07:35
stardict-doc-3.0.6-r6.apk
2.24KB
2024-10-25 23:07:35
stardict-help-3.0.6-r6.apk
3.40MB
2024-10-25 23:07:35
stardict-lang-3.0.6-r6.apk
289.50KB
2024-10-25 23:07:35
starfighter-2.4-r0.apk
47.87MB
2024-10-25 23:07:36
starfighter-doc-2.4-r0.apk
21.58KB
2024-10-25 23:07:36
startup-2.0.3-r5.apk
519.77KB
2024-10-25 23:07:36
startup-bridge-dconf-2.0.3-r5.apk
37.75KB
2024-10-25 23:07:36
startup-bridge-udev-2.0.3-r5.apk
37.27KB
2024-10-25 23:07:36
startup-dev-2.0.3-r5.apk
5.84KB
2024-10-25 23:07:36
startup-doc-2.0.3-r5.apk
47.59KB
2024-10-25 23:07:36
startup-fish-completion-2.0.3-r5.apk
5.38KB
2024-10-25 23:07:36
startup-lang-2.0.3-r5.apk
16.55KB
2024-10-25 23:07:36
startup-tools-2.0.3-r5.apk
13.31KB
2024-10-25 23:07:36
stayrtr-0.6.1-r0.apk
9.56MB
2024-10-25 23:07:36
stayrtr-openrc-0.6.1-r0.apk
1.99KB
2024-10-25 23:07:36
steamguard-cli-0.9.6-r0.apk
2.12MB
2024-10-25 23:07:36
steamguard-cli-bash-completion-0.9.6-r0.apk
2.49KB
2024-10-25 23:07:36
steamguard-cli-zsh-completion-0.9.6-r0.apk
3.54KB
2024-10-25 23:07:36
steghide-0.5.1.1-r0.apk
135.12KB
2024-10-25 23:07:36
steghide-doc-0.5.1.1-r0.apk
13.72KB
2024-10-25 23:07:36
stern-1.31.0-r0.apk
17.37MB
2024-10-25 23:07:37
stern-bash-completion-1.31.0-r0.apk
5.76KB
2024-10-25 23:07:37
stern-fish-completion-1.31.0-r0.apk
4.25KB
2024-10-25 23:07:37
stern-zsh-completion-1.31.0-r0.apk
3.98KB
2024-10-25 23:07:37
sthttpd-2.27.1-r2.apk
62.78KB
2024-10-25 23:07:37
sthttpd-doc-2.27.1-r2.apk
18.22KB
2024-10-25 23:07:37
sthttpd-openrc-2.27.1-r2.apk
1.95KB
2024-10-25 23:07:37
stockfish-16-r0.apk
32.71MB
2024-10-25 23:07:37
stone-soup-0.32.1-r0.apk
31.27MB
2024-10-25 23:07:38
stw-0.3-r0.apk
7.34KB
2024-10-25 23:07:38
stw-doc-0.3-r0.apk
2.53KB
2024-10-25 23:07:38
subdl-0_git20230616-r1.apk
8.69KB
2024-10-25 23:07:38
subdl-pyc-0_git20230616-r1.apk
14.12KB
2024-10-25 23:07:38
sublime-music-0.12.0-r1.apk
189.51KB
2024-10-25 23:07:38
sublime-music-pyc-0.12.0-r1.apk
302.18KB
2024-10-25 23:07:38
subliminal-2.2.1-r0.apk
68.32KB
2024-10-25 23:07:38
subliminal-pyc-2.2.1-r0.apk
134.62KB
2024-10-25 23:07:38
sudo-ldap-1.9.14-r1.apk
767.35KB
2024-10-25 23:07:38
supercollider-3.13.0-r5.apk
7.45MB
2024-10-25 23:07:38
supercollider-dev-3.13.0-r5.apk
40.66KB
2024-10-25 23:07:38
supermin-5.2.2-r2.apk
505.13KB
2024-10-25 23:07:38
supermin-doc-5.2.2-r2.apk
9.36KB
2024-10-25 23:07:38
surf-2.1-r3.apk
21.49KB
2024-10-25 23:07:38
surf-doc-2.1-r3.apk
4.63KB
2024-10-25 23:07:38
surfraw-2.3.0-r0.apk
78.71KB
2024-10-25 23:07:38
surfraw-doc-2.3.0-r0.apk
17.68KB
2024-10-25 23:07:38
suru-icon-theme-20.05.1_git20221222-r1.apk
2.96MB
2024-10-25 23:07:38
svgbob-0.7.4-r0.apk
466.72KB
2024-12-28 00:06:21
svls-0.2.12-r0.apk
3.51MB
2024-10-25 23:07:38
svls-doc-0.2.12-r0.apk
2.23KB
2024-10-25 23:07:38
swaks-20240103.0-r0.apk
66.08KB
2024-10-25 23:07:38
swaks-doc-20240103.0-r0.apk
49.63KB
2024-10-25 23:07:38
swappy-1.5.1-r0.apk
26.93KB
2024-10-25 23:07:38
swappy-doc-1.5.1-r0.apk
3.70KB
2024-10-25 23:07:38
swappy-lang-1.5.1-r0.apk
3.64KB
2024-10-25 23:07:38
sway-audio-idle-inhibit-0.1.2-r0.apk
9.43KB
2024-10-25 23:07:38
swayhide-0.2.1-r2.apk
259.13KB
2024-10-25 23:07:38
swhkd-1.2.1-r0.apk
1.06MB
2024-10-25 23:07:38
swhkd-doc-1.2.1-r0.apk
6.17KB
2024-10-25 23:07:38
swi-prolog-9.2.9-r0.apk
4.61MB
2024-12-21 12:08:24
swi-prolog-doc-9.2.9-r0.apk
2.06MB
2024-12-21 12:08:24
swi-prolog-pyc-9.2.9-r0.apk
22.45KB
2024-12-21 12:08:24
swi-prolog-xpce-9.2.9-r0.apk
921.84KB
2024-12-21 12:08:24
swi-prolog-xpce-doc-9.2.9-r0.apk
1.04MB
2024-12-21 12:08:24
swig3-3.0.12-r3.apk
1.30MB
2024-10-25 23:07:38
swig3-doc-3.0.12-r3.apk
3.65KB
2024-10-25 23:07:38
sxcs-1.1.0-r0.apk
8.62KB
2024-10-25 23:07:38
sxcs-doc-1.1.0-r0.apk
2.64KB
2024-10-25 23:07:38
sydbox-3.21.3-r0.apk
1.42MB
2024-10-25 23:07:38
sydbox-doc-3.21.3-r0.apk
83.90KB
2024-10-25 23:07:38
sydbox-oci-3.21.3-r0.apk
1.84MB
2024-10-25 23:07:38
sydbox-utils-3.21.3-r0.apk
6.33MB
2024-10-25 23:07:38
sydbox-vim-3.21.3-r0.apk
5.23KB
2024-10-25 23:07:38
sylpheed-imap-notify-1.1.0-r2.apk
7.82KB
2024-10-25 23:07:38
symbiyosys-0.36-r0.apk
37.55KB
2024-10-25 23:07:38
symengine-0.12.0-r0.apk
3.50MB
2024-10-25 23:07:38
synapse-bt-1.0-r4.apk
1.11MB
2024-10-25 23:07:38
synapse-bt-cli-1.0-r4.apk
0.99MB
2024-10-25 23:07:38
synapse-bt-openrc-1.0-r4.apk
1.82KB
2024-10-25 23:07:38
syncthing-gtk-0.9.4.5-r2.apk
439.96KB
2024-10-25 23:07:38
syncthing-gtk-doc-0.9.4.5-r2.apk
2.20KB
2024-10-25 23:07:38
syncthing-gtk-pyc-0.9.4.5-r2.apk
220.60KB
2024-10-25 23:07:38
t2sz-1.1.2-r0.apk
8.44KB
2024-10-25 23:07:38
tabby-3.1-r1.apk
26.96KB
2024-10-25 23:07:38
tabby-doc-3.1-r1.apk
2.23KB
2024-10-25 23:07:38
tachyon-0.99_beta6-r1.apk
88.20KB
2024-10-25 23:07:38
tachyon-scenes-0.99_beta6-r1.apk
1.93MB
2024-10-25 23:07:39
tailspin-3.0.0-r0.apk
1.16MB
2024-10-25 23:07:39
tailspin-bash-completion-3.0.0-r0.apk
2.21KB
2024-10-25 23:07:39
tailspin-doc-3.0.0-r0.apk
2.68KB
2024-10-25 23:07:39
tailspin-fish-completion-3.0.0-r0.apk
2.03KB
2024-10-25 23:07:39
tailspin-zsh-completion-3.0.0-r0.apk
2.44KB
2024-10-25 23:07:39
tang-14-r0.apk
15.25KB
2024-10-25 23:07:39
tang-dbg-14-r0.apk
30.39KB
2024-10-25 23:07:39
tang-doc-14-r0.apk
20.59KB
2024-10-25 23:07:39
tang-openrc-14-r0.apk
1.94KB
2024-10-25 23:07:39
tangara-companion-0.4.3-r0.apk
1.06MB
2024-12-17 23:07:29
tangctl-0_git20220412-r19.apk
2.24MB
2024-10-25 23:07:39
tanidvr-1.4.1-r1.apk
22.13KB
2024-10-25 23:07:39
tanidvr-dhav2mkv-1.4.1-r1.apk
12.53KB
2024-10-25 23:07:39
tartube-2.5.0-r1.apk
2.74MB
2024-10-25 23:07:39
tartube-pyc-2.5.0-r1.apk
1.07MB
2024-10-25 23:07:39
taskcafe-0.3.6-r8.apk
12.98MB
2024-10-25 23:07:39
taskcafe-openrc-0.3.6-r8.apk
1.84KB
2024-10-25 23:07:39
tayga-0.9.2-r0.apk
21.98KB
2024-10-25 23:07:39
tayga-doc-0.9.2-r0.apk
5.57KB
2024-10-25 23:07:39
tcc-0.9.27_git20241020-r1.apk
10.26KB
2024-10-25 23:07:39
tcc-dev-0.9.27_git20241020-r1.apk
43.94KB
2024-10-25 23:07:39
tcc-doc-0.9.27_git20241020-r1.apk
49.84KB
2024-10-25 23:07:39
tcc-libs-0.9.27_git20241020-r1.apk
139.29KB
2024-10-25 23:07:39
tcc-libs-static-0.9.27_git20241020-r1.apk
10.64KB
2024-10-25 23:07:39
tcl-curl-7.22.0-r0.apk
28.70KB
2024-10-25 23:07:39
tcl-curl-doc-7.22.0-r0.apk
37.66KB
2024-10-25 23:07:39
tcl9-9.0.1-r0.apk
1.78MB
2024-12-22 08:12:38
tcl9-dev-9.0.1-r0.apk
183.24KB
2024-12-22 08:12:38
tcl9-doc-9.0.1-r0.apk
1.38MB
2024-12-22 08:12:38
tdrop-0.5.0-r0.apk
11.82KB
2024-10-25 23:07:39
tdrop-doc-0.5.0-r0.apk
8.85KB
2024-10-25 23:07:39
tealdeer-1.7.1-r0.apk
898.74KB
2024-12-14 20:12:18
tealdeer-bash-completion-1.7.1-r0.apk
1.97KB
2024-12-14 20:12:18
tealdeer-fish-completion-1.7.1-r0.apk
2.21KB
2024-12-14 20:12:18
tealdeer-zsh-completion-1.7.1-r0.apk
2.32KB
2024-12-14 20:12:18
telegram-bot-api-8.2-r0.apk
6.73MB
2025-01-03 20:56:03
telegram-tdlib-1.8.42-r0.apk
6.72MB
2025-01-03 21:08:47
telegram-tdlib-dev-1.8.42-r0.apk
176.84KB
2025-01-03 21:08:47
telegram-tdlib-static-1.8.42-r0.apk
18.63MB
2025-01-03 21:08:47
templ-0.3.819-r0.apk
4.61MB
2025-01-05 04:00:11
tenv-3.2.4-r2.apk
8.79MB
2024-10-25 23:07:40
tenv-bash-completion-3.2.4-r2.apk
5.00KB
2024-10-25 23:07:40
tenv-fish-completion-3.2.4-r2.apk
4.26KB
2024-10-25 23:07:40
tenv-zsh-completion-3.2.4-r2.apk
3.98KB
2024-10-25 23:07:40
tere-1.6.0-r0.apk
1.02MB
2024-10-25 23:07:40
tere-doc-1.6.0-r0.apk
13.99KB
2024-10-25 23:07:40
termbox-1.1.2-r1.apk
11.11KB
2024-10-25 23:07:40
termbox-dev-1.1.2-r1.apk
5.73KB
2024-10-25 23:07:40
termbox-static-1.1.2-r1.apk
11.87KB
2024-10-25 23:07:40
termcolor-2.1.0-r0.apk
1.47KB
2024-10-25 23:07:40
termcolor-dev-2.1.0-r0.apk
6.84KB
2024-10-25 23:07:40
termusic-mpv-0.7.11-r0.apk
6.16MB
2024-10-25 23:07:40
tfupdate-0.8.2-r1.apk
4.33MB
2024-10-25 23:07:40
tfupdate-doc-0.8.2-r1.apk
2.27KB
2024-10-25 23:07:40
theforceengine-1.09.540-r1.apk
6.81MB
2024-10-25 23:07:40
theforceengine-doc-1.09.540-r1.apk
6.32MB
2024-10-25 23:07:40
thefuck-3.32-r5.apk
83.34KB
2024-10-25 23:07:40
thefuck-pyc-3.32-r5.apk
155.72KB
2024-10-25 23:07:40
thelounge-4.4.3-r0.apk
27.87MB
2024-10-25 23:07:41
thelounge-doc-4.4.3-r0.apk
2.30KB
2024-10-25 23:07:41
thelounge-openrc-4.4.3-r0.apk
2.04KB
2024-10-25 23:07:41
theme.sh-1.1.5-r0.apk
39.05KB
2024-10-25 23:07:41
theme.sh-doc-1.1.5-r0.apk
2.30KB
2024-10-25 23:07:41
throttled-0.10.0-r1.apk
14.55KB
2024-12-15 21:22:54
throttled-openrc-0.10.0-r1.apk
1.61KB
2024-12-15 21:22:54
throttled-pyc-0.10.0-r1.apk
28.37KB
2024-12-15 21:22:54
thumbdrives-0.3.2-r2.apk
10.94KB
2024-10-25 23:07:41
thunar-gtkhash-plugin-1.5-r0.apk
21.81KB
2024-10-25 23:07:41
thunarx-python-0.5.2-r2.apk
9.39KB
2024-10-25 23:07:41
thunarx-python-doc-0.5.2-r2.apk
25.20KB
2024-10-25 23:07:41
tic-80-1.1.2837-r5.apk
13.00MB
2024-12-28 00:25:01
tick-1.2.1-r0.apk
10.41KB
2024-10-25 23:07:41
tick-doc-1.2.1-r0.apk
5.54KB
2024-10-25 23:07:41
ticker-4.7.1-r0.apk
3.71MB
2024-12-14 20:09:44
ticker-bash-completion-4.7.1-r0.apk
4.52KB
2024-12-14 20:09:44
ticker-fish-completion-4.7.1-r0.apk
3.83KB
2024-12-14 20:09:44
ticker-zsh-completion-4.7.1-r0.apk
3.66KB
2024-12-14 20:09:44
time-1.9-r1.apk
12.17KB
2024-10-25 23:07:41
time-doc-1.9-r1.apk
15.02KB
2024-10-25 23:07:41
timeshift-24.06.5-r0.apk
466.17KB
2024-12-05 22:47:07
timeshift-doc-24.06.5-r0.apk
3.10KB
2024-12-05 22:47:07
timeshift-lang-24.06.5-r0.apk
916.68KB
2024-12-05 22:47:07
timew-1.4.3-r1.apk
248.31KB
2024-10-25 23:07:41
timew-bash-completion-1.4.3-r1.apk
2.76KB
2024-10-25 23:07:41
timew-doc-1.4.3-r1.apk
53.15KB
2024-10-25 23:07:41
timewarrior-1.7.1-r0.apk
262.31KB
2024-10-25 23:07:41
timewarrior-doc-1.7.1-r0.apk
22.18KB
2024-10-25 23:07:41
tintin-2.02.31-r0.apk
1.83MB
2024-10-25 23:07:41
tiny-0.13.0-r0.apk
584.40KB
2025-01-01 20:10:57
tiny-doc-0.13.0-r0.apk
5.47KB
2025-01-01 20:10:57
tinycbor-0.6.0-r1.apk
15.70KB
2024-10-25 23:07:41
tinycbor-dev-0.6.0-r1.apk
8.37KB
2024-10-25 23:07:41
tinygltf-2.9.3-r0.apk
139.19KB
2024-11-08 00:57:00
tinygltf-dev-2.9.3-r0.apk
57.15KB
2024-11-08 00:57:00
tinyscheme-1.42-r1.apk
51.52KB
2024-10-25 23:07:41
tk9-9.0.1-r0.apk
827.83KB
2024-12-22 08:12:38
tk9-dev-9.0.1-r0.apk
81.38KB
2024-12-22 08:12:38
tk9-doc-9.0.1-r0.apk
1.32MB
2024-12-22 08:12:38
tkts-2.0-r0.apk
16.95KB
2024-10-25 23:07:41
tldr-python-client-3.3.0-r0.apk
12.11KB
2024-12-01 19:09:08
tldr-python-client-doc-3.3.0-r0.apk
3.50KB
2024-12-01 19:09:08
tldr-python-client-pyc-3.3.0-r0.apk
14.17KB
2024-12-01 19:09:08
tmate-2.4.0-r4.apk
235.72KB
2024-10-25 23:07:41
tmate-doc-2.4.0-r4.apk
71.72KB
2024-10-25 23:07:41
tmpl-0.4.0-r6.apk
2.31MB
2024-10-25 23:07:41
tmpl-doc-0.4.0-r6.apk
2.25KB
2024-10-25 23:07:41
tmpmail-1.2.3-r2.apk
7.00KB
2024-10-25 23:07:41
tmpmail-doc-1.2.3-r2.apk
3.22KB
2024-10-25 23:07:41
tmux-resurrect-4.0.0-r0.apk
13.85KB
2024-10-25 23:07:41
tmux-resurrect-doc-4.0.0-r0.apk
8.39KB
2024-10-25 23:07:41
tncattach-0.1.9-r1.apk
21.50KB
2024-10-25 23:07:41
tncattach-doc-0.1.9-r1.apk
3.91KB
2024-10-25 23:07:41
tnef-1.4.18-r0.apk
25.12KB
2024-10-25 23:07:41
tnef-doc-1.4.18-r0.apk
4.20KB
2024-10-25 23:07:41
toapk-1.0-r0.apk
10.36KB
2024-10-25 23:07:41
today-6.2.0-r0.apk
3.14KB
2024-10-25 23:07:41
today-doc-6.2.0-r0.apk
3.27KB
2024-10-25 23:07:41
tofutf-0.10.0-r0.apk
1.43KB
2024-10-25 23:07:41
tofutf-agent-0.10.0-r0.apk
8.58MB
2024-10-25 23:07:41
tofutf-agent-openrc-0.10.0-r0.apk
2.02KB
2024-10-25 23:07:41
tofutf-cli-0.10.0-r0.apk
7.93MB
2024-10-25 23:07:41
tofutf-server-0.10.0-r0.apk
12.63MB
2024-10-25 23:07:42
tofutf-server-openrc-0.10.0-r0.apk
2.02KB
2024-10-25 23:07:42
toml2json-1.3.1-r0.apk
369.25KB
2024-10-25 23:07:42
toml2json-doc-1.3.1-r0.apk
3.28KB
2024-10-25 23:07:42
tonutils-reverse-proxy-0.3.3-r0.apk
2.53MB
2024-10-25 23:07:42
tonutils-reverse-proxy-doc-0.3.3-r0.apk
2.39KB
2024-10-25 23:07:42
tootik-0.14.1-r0.apk
3.69MB
2025-01-07 19:30:28
tootik-openrc-0.14.1-r0.apk
3.07KB
2025-01-07 19:30:28
topgit-0.19.13-r1.apk
126.65KB
2024-10-25 23:07:42
topgit-bash-completion-0.19.13-r1.apk
4.03KB
2024-10-25 23:07:42
topgit-doc-0.19.13-r1.apk
73.43KB
2024-10-25 23:07:42
torrent-file-editor-0.3.18-r0.apk
359.88KB
2024-10-25 23:07:42
toss-1.1-r0.apk
11.35KB
2024-10-25 23:07:42
toybox-0.8.11-r1.apk
293.08KB
2024-10-25 23:07:42
tpm2-pkcs11-1.9.1-r0.apk
131.51KB
2024-10-25 23:07:42
tpm2-pkcs11-dev-1.9.1-r0.apk
1.87KB
2024-10-25 23:07:42
tpm2-pkcs11-pyc-1.9.1-r0.apk
68.92KB
2024-10-25 23:07:42
trace-cmd-3.3.1-r0.apk
163.62KB
2024-11-01 19:22:19
trace-cmd-bash-completion-3.3.1-r0.apk
3.35KB
2024-11-01 19:22:19
trace-cmd-doc-3.3.1-r0.apk
171.30KB
2024-11-01 19:22:19
transmission-remote-gtk-1.6.0-r0.apk
137.55KB
2024-10-25 23:07:42
transmission-remote-gtk-doc-1.6.0-r0.apk
4.20KB
2024-10-25 23:07:42
transmission-remote-gtk-lang-1.6.0-r0.apk
105.98KB
2024-10-25 23:07:42
trantor-1.5.18-r0.apk
211.45KB
2024-10-25 23:07:42
trantor-dev-1.5.18-r0.apk
34.00KB
2024-10-25 23:07:42
trantor-doc-1.5.18-r0.apk
2.61KB
2024-10-25 23:07:42
tre-0.8.0-r2.apk
24.20KB
2024-10-25 23:07:42
tre-dev-0.8.0-r2.apk
5.18KB
2024-10-25 23:07:42
tre-static-0.8.0-r2.apk
25.49KB
2024-10-25 23:07:42
tree-sitter-c-sharp-0.23.1-r0.apk
346.40KB
2024-11-13 02:51:17
tree-sitter-caddy-0_git20230322-r0.apk
84.37KB
2024-10-25 23:07:42
tree-sitter-caddy-doc-0_git20230322-r0.apk
2.26KB
2024-10-25 23:07:42
tree-sitter-clojure-0.0.12-r0.apk
22.50KB
2024-10-25 23:07:42
tree-sitter-dart-0_git20230123-r1.apk
91.24KB
2024-10-25 23:07:42
tree-sitter-git-commit-0_git20211225-r2.apk
13.61KB
2024-10-25 23:07:42
tree-sitter-git-diff-0_git20230730-r0.apk
9.68KB
2024-10-25 23:07:42
tree-sitter-git-rebase-0_git20220110-r2.apk
6.63KB
2024-10-25 23:07:42
tree-sitter-gleam-1.0.0-r0.apk
43.63KB
2024-10-25 23:07:42
tree-sitter-hare-0_git20230616-r1.apk
35.05KB
2024-10-25 23:07:42
tree-sitter-haskell-0.23.1-r0.apk
284.32KB
2025-01-09 17:53:23
tree-sitter-hcl-1.1.0-r1.apk
45.45KB
2024-10-25 23:07:42
tree-sitter-just-0_git20230318-r0.apk
13.44KB
2024-10-25 23:07:42
tree-sitter-kotlin-0.3.8-r0.apk
324.62KB
2024-10-25 23:07:42
tree-sitter-make-0_git20211216-r2.apk
41.28KB
2024-10-25 23:07:42
tree-sitter-markdown-0.3.2-r0.apk
138.35KB
2024-10-25 23:07:42
tree-sitter-markdown-doc-0.3.2-r0.apk
2.21KB
2024-10-25 23:07:42
tree-sitter-nix-0_git20230713-r0.apk
22.63KB
2024-10-25 23:07:42
tree-sitter-nix-doc-0_git20230713-r0.apk
2.24KB
2024-10-25 23:07:42
tree-sitter-pascal-0.9.1-r0.apk
82.76KB
2024-10-25 23:07:42
tree-sitter-pascal-doc-0.9.1-r0.apk
2.27KB
2024-10-25 23:07:42
tree-sitter-query-0.4.0-r0.apk
10.76KB
2025-01-10 20:31:25
tree-sitter-query-doc-0.4.0-r0.apk
5.96KB
2025-01-10 20:31:25
tree-sitter-ron-0.2.0-r0.apk
30.30KB
2024-10-25 23:07:42
tree-sitter-scheme-0.23.0-r0.apk
25.55KB
2024-10-25 23:07:42
tree-sitter-scheme-doc-0.23.0-r0.apk
2.24KB
2024-10-25 23:07:42
tree-sitter-ssh-client-config-2025.1.9-r0.apk
85.39KB
2025-01-10 08:38:00
tree-sitter-vim-0.4.0-r0.apk
182.55KB
2025-01-10 20:31:25
tree-sitter-vim-doc-0.4.0-r0.apk
2.67KB
2025-01-10 20:31:25
tree-sitter-vimdoc-3.0.0-r2.apk
31.00KB
2025-01-10 08:09:42
tree-sitter-vimdoc-doc-3.0.0-r2.apk
7.28KB
2025-01-10 08:09:42
tree-sitter-xml-0.7.0-r0.apk
29.55KB
2024-11-14 15:10:59
tree-sitter-xml-doc-0.7.0-r0.apk
2.20KB
2024-11-14 15:10:59
tremc-0.9.3-r0.apk
48.21KB
2024-10-25 23:07:42
tremc-bash-completion-0.9.3-r0.apk
1.68KB
2024-10-25 23:07:42
tremc-doc-0.9.3-r0.apk
2.62KB
2024-10-25 23:07:42
tremc-zsh-completion-0.9.3-r0.apk
1.61KB
2024-10-25 23:07:42
trigger-rally-0.6.7-r2.apk
294.72KB
2024-10-25 23:07:42
trigger-rally-data-0.6.7-r2.apk
352.05MB
2024-10-25 23:07:48
trigger-rally-doc-0.6.7-r2.apk
28.39KB
2024-10-25 23:07:48
trippy-0.12.2-r0.apk
1.96MB
2025-01-05 00:29:57
trippy-bash-completion-0.12.2-r0.apk
3.11KB
2025-01-05 00:29:57
trippy-zsh-completion-0.12.2-r0.apk
4.67KB
2025-01-05 00:29:57
trivy-0.58.1-r0.apk
55.42MB
2025-01-10 08:25:02
tsung-1.8.0-r2.apk
730.15KB
2024-10-25 23:07:49
ttdl-4.7.0-r0.apk
943.60KB
2024-11-26 21:05:30
ttdl-doc-4.7.0-r0.apk
36.77KB
2024-11-26 21:05:30
ttfautohint-1.8.4-r0.apk
26.48KB
2024-10-25 23:07:49
ttfautohint-dev-1.8.4-r0.apk
152.01KB
2024-10-25 23:07:49
ttfautohint-doc-1.8.4-r0.apk
8.10KB
2024-10-25 23:07:49
ttfautohint-gui-1.8.4-r0.apk
55.09KB
2024-10-25 23:07:49
ttfautohint-libs-1.8.4-r0.apk
103.97KB
2024-10-25 23:07:49
tty-clock-2.3_git20240104-r0.apk
8.40KB
2024-10-25 23:07:49
tty-clock-doc-2.3_git20240104-r0.apk
3.10KB
2024-10-25 23:07:49
tty-proxy-0.0.2-r23.apk
2.21MB
2024-10-25 23:07:49
tty-share-2.4.0-r13.apk
3.21MB
2024-10-25 23:07:49
ttyper-1.5.0-r0.apk
572.50KB
2024-10-25 23:07:49
tui-journal-0.10.0-r0.apk
1.91MB
2024-10-25 23:07:49
tui-journal-doc-0.10.0-r0.apk
6.90KB
2024-10-25 23:07:49
tup-0.7.11-r0.apk
229.11KB
2024-10-25 23:07:49
tup-doc-0.7.11-r0.apk
20.86KB
2024-10-25 23:07:49
tup-vim-0.7.11-r0.apk
2.57KB
2024-10-25 23:07:49
tuptime-5.2.4-r1.apk
13.85KB
2024-11-29 00:44:48
tuptime-doc-5.2.4-r1.apk
3.75KB
2024-11-29 00:44:48
tuptime-openrc-5.2.4-r1.apk
1.69KB
2024-11-29 00:44:48
turn-rs-3.3.3-r0.apk
569.41KB
2025-01-07 08:28:16
turn-rs-doc-3.3.3-r0.apk
10.76KB
2025-01-07 08:28:16
turn-rs-openrc-3.3.3-r0.apk
1.90KB
2025-01-07 08:28:16
turnstile-0.1.10-r3.apk
37.98KB
2024-10-25 23:07:49
turnstile-doc-0.1.10-r3.apk
5.70KB
2024-10-25 23:07:49
turnstile-openrc-0.1.10-r3.apk
1.80KB
2024-10-25 23:07:49
twemproxy-0.5.0-r0.apk
60.95KB
2024-10-25 23:07:49
twemproxy-doc-0.5.0-r0.apk
17.21KB
2024-10-25 23:07:49
twiggy-0.6.0-r3.apk
785.38KB
2024-10-25 23:07:49
twinkle-1.10.3-r3.apk
2.41MB
2025-01-04 23:55:14
twinkle-doc-1.10.3-r3.apk
3.50KB
2025-01-04 23:55:14
typos-1.23.2-r0.apk
2.77MB
2024-10-25 23:07:49
typos-doc-1.23.2-r0.apk
6.13KB
2024-10-25 23:07:49
typstyle-0.12.14-r0.apk
528.58KB
2025-01-12 15:45:28
u1db-qt-0.1.8-r0.apk
92.60KB
2024-10-25 23:07:49
uasm-2.56.2-r0.apk
286.63KB
2024-10-25 23:07:49
ubase-20200605-r3.apk
45.71KB
2024-10-25 23:07:49
ubase-doc-20200605-r3.apk
21.23KB
2024-10-25 23:07:49
ubuntu-archive-keyring-2023.11.28.1-r0.apk
16.15KB
2024-10-25 23:07:49
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2.27KB
2024-10-25 23:07:49
uclient-20210514-r0.apk
11.04KB
2024-10-25 23:07:49
uclient-dev-20210514-r0.apk
3.19KB
2024-10-25 23:07:49
uclient-fetch-20210514-r0.apk
10.40KB
2024-10-25 23:07:49
udpt-3.1.2-r0.apk
717.48KB
2024-10-25 23:07:49
udpt-openrc-3.1.2-r0.apk
1.80KB
2024-10-25 23:07:49
ueberzug-18.2.3-r0.apk
62.86KB
2024-10-25 23:07:49
ueberzug-pyc-18.2.3-r0.apk
64.42KB
2024-10-25 23:07:49
undock-0.9.0-r0.apk
9.49MB
2025-01-02 00:26:56
unit-php81-1.34.1-r0.apk
29.66KB
2025-01-11 21:54:58
up-0.4-r24.apk
1.03MB
2024-10-25 23:07:49
upterm-0.14.3-r0.apk
5.41MB
2024-10-25 23:07:49
upterm-bash-completion-0.14.3-r0.apk
5.52KB
2024-10-25 23:07:49
upterm-doc-0.14.3-r0.apk
6.54KB
2024-10-25 23:07:49
upterm-server-0.14.3-r0.apk
5.28MB
2024-10-25 23:07:50
upterm-server-openrc-0.14.3-r0.apk
1.90KB
2024-10-25 23:07:50
upterm-zsh-completion-0.14.3-r0.apk
4.04KB
2024-10-25 23:07:50
urlwatch-2.28-r2.apk
48.71KB
2024-10-25 23:07:50
urlwatch-doc-2.28-r2.apk
33.19KB
2024-10-25 23:07:50
urlwatch-pyc-2.28-r2.apk
101.38KB
2024-10-25 23:07:50
usbmuxd-1.1.1-r8.apk
34.43KB
2024-10-31 00:44:42
usbmuxd-doc-1.1.1-r8.apk
2.94KB
2024-10-31 00:44:42
ustr-1.0.4-r1.apk
48.03KB
2024-10-25 23:07:50
ustr-debug-1.0.4-r1.apk
64.61KB
2024-10-25 23:07:50
ustr-dev-1.0.4-r1.apk
91.45KB
2024-10-25 23:07:50
ustr-doc-1.0.4-r1.apk
96.58KB
2024-10-25 23:07:50
ustr-static-1.0.4-r1.apk
131.76KB
2024-10-25 23:07:50
ustream-ssl-20220116-r1.apk
5.79KB
2024-10-25 23:07:50
ustream-ssl-dev-20220116-r1.apk
2.57KB
2024-10-25 23:07:50
utop-2.9.1-r4.apk
13.28MB
2024-10-25 23:07:50
utop-common-2.9.1-r4.apk
2.02KB
2024-10-25 23:07:50
utop-doc-2.9.1-r4.apk
5.72KB
2024-10-25 23:07:50
utop-emacs-2.9.1-r4.apk
12.81KB
2024-10-25 23:07:50
utop-full-2.9.1-r4.apk
13.29MB
2024-10-25 23:07:50
uucp-1.07-r6.apk
467.59KB
2024-10-25 23:07:50
uucp-doc-1.07-r6.apk
118.10KB
2024-10-25 23:07:50
uxn-1.0-r0.apk
42.09KB
2024-10-25 23:07:50
uxn-doc-1.0-r0.apk
4.16KB
2024-10-25 23:07:50
uxplay-1.71-r0.apk
216.96KB
2024-12-15 00:35:53
uxplay-doc-1.71-r0.apk
4.34KB
2024-12-15 00:35:53
vals-0.38.0-r0.apk
26.56MB
2024-12-14 19:23:18
varnish-modules-0.24.0-r0.apk
41.49KB
2024-10-25 23:07:51
varnish-modules-doc-0.24.0-r0.apk
21.34KB
2024-10-25 23:07:51
vbindiff-3.0_beta5-r1.apk
19.09KB
2024-10-25 23:07:51
vbindiff-doc-3.0_beta5-r1.apk
5.45KB
2024-10-25 23:07:51
vcdimager-2.0.1-r3.apk
481.04KB
2024-10-25 23:07:51
vcdimager-dev-2.0.1-r3.apk
118.45KB
2024-10-25 23:07:51
vcdimager-doc-2.0.1-r3.apk
74.64KB
2024-10-25 23:07:51
vcsh-2.0.5-r0.apk
8.79KB
2024-10-25 23:07:51
vcsh-bash-completion-2.0.5-r0.apk
2.92KB
2024-10-25 23:07:51
vcsh-doc-2.0.5-r0.apk
27.19KB
2024-10-25 23:07:51
vcsh-zsh-completion-2.0.5-r0.apk
2.93KB
2024-10-25 23:07:51
vcstool-0.3.0-r5.apk
34.80KB
2024-10-25 23:07:51
vcstool-bash-completion-0.3.0-r5.apk
1.75KB
2024-10-25 23:07:51
vcstool-pyc-0.3.0-r5.apk
57.72KB
2024-10-25 23:07:51
vcstool-tcsh-completion-0.3.0-r5.apk
1.63KB
2024-10-25 23:07:51
vcstool-zsh-completion-0.3.0-r5.apk
1.73KB
2024-10-25 23:07:51
vectoroids-1.1.0-r2.apk
281.13KB
2024-10-25 23:07:51
vectoroids-doc-1.1.0-r2.apk
2.29KB
2024-10-25 23:07:51
venc-3.2.4-r0.apk
250.72KB
2024-10-25 23:07:51
venc-pyc-3.2.4-r0.apk
128.10KB
2024-10-25 23:07:51
vera++-1.3.0-r10.apk
244.96KB
2024-10-25 23:07:51
vfd-configurations-0_git20230612-r0.apk
24.80KB
2024-10-25 23:07:51
vice-3.8-r0.apk
12.06MB
2024-10-25 23:07:51
vice-doc-3.8-r0.apk
2.18MB
2024-10-25 23:07:51
video-trimmer-0.9.0-r0.apk
811.63KB
2024-10-25 23:07:51
video-trimmer-lang-0.9.0-r0.apk
90.86KB
2024-10-25 23:07:51
viewnior-1.8-r1.apk
69.82KB
2024-10-25 23:07:51
viewnior-doc-1.8-r1.apk
2.10KB
2024-10-25 23:07:51
viewnior-lang-1.8-r1.apk
85.15KB
2024-10-25 23:07:51
vile-9.8z-r0.apk
1.82MB
2024-10-25 23:07:51
vile-doc-9.8z-r0.apk
14.97KB
2024-10-25 23:07:51
vim-airline-0.11-r0.apk
86.38KB
2024-10-25 23:07:51
vim-airline-doc-0.11-r0.apk
12.13KB
2024-10-25 23:07:51
vim-rust-305-r0.apk
20.07KB
2024-10-25 23:07:51
virtctl-1.4.0-r0.apk
14.96MB
2024-12-14 20:09:44
virtctl-bash-completion-1.4.0-r0.apk
5.06KB
2024-12-14 20:09:44
virtctl-fish-completion-1.4.0-r0.apk
4.27KB
2024-12-14 20:09:44
virtctl-zsh-completion-1.4.0-r0.apk
3.98KB
2024-12-14 20:09:44
virter-0.28.1-r0.apk
5.41MB
2024-12-14 21:02:57
virter-bash-completion-0.28.1-r0.apk
5.01KB
2024-12-14 21:02:57
virter-doc-0.28.1-r0.apk
14.82KB
2024-12-14 21:02:57
virter-fish-completion-0.28.1-r0.apk
4.26KB
2024-12-14 21:02:57
virter-zsh-completion-0.28.1-r0.apk
3.99KB
2024-12-14 21:02:57
virtme-ng-1.32-r0.apk
194.54KB
2025-01-08 14:20:20
virtme-ng-bash-completion-1.32-r0.apk
2.63KB
2025-01-08 14:20:20
visidata-2.11.1-r2.apk
248.36KB
2024-10-25 23:07:51
visidata-doc-2.11.1-r2.apk
15.86KB
2024-10-25 23:07:51
visidata-pyc-2.11.1-r2.apk
497.40KB
2024-10-25 23:07:51
visidata-zsh-completion-2.11.1-r2.apk
7.17KB
2024-10-25 23:07:51
vit-2.3.2-r1.apk
80.48KB
2024-10-25 23:07:51
vit-pyc-2.3.2-r1.apk
151.48KB
2024-10-25 23:07:51
vkbasalt-0.3.2.10-r0.apk
367.31KB
2024-10-25 23:07:51
vkbasalt-doc-0.3.2.10-r0.apk
3.06KB
2024-10-25 23:07:51
vmtouch-1.3.1-r0.apk
13.07KB
2024-10-25 23:07:51
vmtouch-doc-1.3.1-r0.apk
8.04KB
2024-10-25 23:07:51
voikko-fi-2.5-r0.apk
1.56MB
2024-10-25 23:07:51
volumeicon-0.5.1-r1.apk
40.63KB
2024-10-25 23:07:51
volumeicon-lang-0.5.1-r1.apk
3.76KB
2024-10-25 23:07:51
vym-2.9.26-r0.apk
2.78MB
2024-10-25 23:07:51
vym-doc-2.9.26-r0.apk
3.39MB
2024-10-25 23:07:51
w_scan2-1.0.15-r0.apk
138.46KB
2024-10-25 23:07:51
w_scan2-doc-1.0.15-r0.apk
4.12KB
2024-10-25 23:07:51
wabt-1.0.36-r0.apk
3.68MB
2024-10-25 23:07:51
wabt-doc-1.0.36-r0.apk
13.70KB
2024-10-25 23:07:51
waifu2x-converter-cpp-5.3.4-r7.apk
11.59MB
2024-10-25 23:07:52
wakeonlan-0.42-r0.apk
4.50KB
2024-10-25 23:07:52
wakeonlan-doc-0.42-r0.apk
7.51KB
2024-10-25 23:07:52
walk-1.10.0-r0.apk
1.28MB
2024-11-21 00:26:12
walk-sor-0_git20190920-r1.apk
5.16KB
2024-10-25 23:07:52
walk-sor-doc-0_git20190920-r1.apk
7.77KB
2024-10-25 23:07:52
wamrc-2.2.0-r0.apk
448.99KB
2024-12-05 17:48:57
warpinator-1.8.7-r0.apk
214.90KB
2024-12-24 12:09:53
warpinator-lang-1.8.7-r0.apk
223.73KB
2024-12-24 12:09:53
warpinator-nemo-1.8.7-r0.apk
4.05KB
2024-12-24 12:09:53
wasm-micro-runtime-2.2.0-r0.apk
1.20KB
2024-12-05 17:48:57
wasm-tools-1.223.0-r0.apk
3.09MB
2025-01-12 00:13:26
wasm-tools-doc-1.223.0-r0.apk
7.05KB
2025-01-12 00:13:26
watchbind-0.2.1-r1.apk
1.11MB
2024-10-25 23:07:52
watchbind-doc-0.2.1-r1.apk
6.57KB
2024-10-25 23:07:52
watchdog-5.16-r2.apk
41.72KB
2024-10-25 23:07:52
watchdog-doc-5.16-r2.apk
14.22KB
2024-10-25 23:07:52
watchmate-0.5.2-r0.apk
2.30MB
2024-10-25 23:07:52
watershot-0.2.0-r0.apk
1.66MB
2024-10-25 23:07:52
way-displays-1.8.1-r2.apk
70.45KB
2024-10-25 23:07:52
way-displays-doc-1.8.1-r2.apk
4.08KB
2024-10-25 23:07:52
waycheck-1.5.0-r0.apk
40.67KB
2024-12-22 01:38:59
wayfire-0.8.1-r1.apk
2.19MB
2024-10-25 23:07:52
wayfire-dev-0.8.1-r1.apk
129.83KB
2024-10-25 23:07:52
wayfire-doc-0.8.1-r1.apk
2.75KB
2024-10-25 23:07:52
wayfire-plugins-extra-0.8.1-r1.apk
532.87KB
2024-10-25 23:07:52
waylevel-1.0.0-r1.apk
301.73KB
2024-10-25 23:07:52
waynergy-0.0.17-r0.apk
45.71KB
2024-10-25 23:07:52
wbg-1.2.0-r0.apk
36.43KB
2024-10-25 23:07:52
wch-isp-0.4.1-r2.apk
10.41KB
2024-10-25 23:07:52
wch-isp-doc-0.4.1-r2.apk
2.66KB
2024-10-25 23:07:52
wch-isp-udev-rules-0.4.1-r2.apk
1.65KB
2024-10-25 23:07:52
wcm-0.8.0-r0.apk
348.68KB
2024-10-25 23:07:52
weggli-0.2.4-r1.apk
825.54KB
2024-10-25 23:07:52
wf-config-0.8.0-r1.apk
95.06KB
2024-10-25 23:07:52
wf-config-dev-0.8.0-r1.apk
16.49KB
2024-10-25 23:07:52
wf-shell-0.8.1-r0.apk
6.04MB
2024-10-25 23:07:52
wf-shell-dev-0.8.1-r0.apk
1.69KB
2024-10-25 23:07:52
wf-shell-doc-0.8.1-r0.apk
2.19KB
2024-10-25 23:07:52
wgcf-2.2.24-r0.apk
3.72MB
2025-01-02 00:26:56
wgcf-bash-completion-2.2.24-r0.apk
5.01KB
2025-01-02 00:26:56
wgcf-fish-completion-2.2.24-r0.apk
4.26KB
2025-01-02 00:26:56
wgcf-zsh-completion-2.2.24-r0.apk
3.99KB
2025-01-02 00:26:56
wiki-tui-0.8.2-r1.apk
1.53MB
2024-10-25 23:07:52
wiki-tui-doc-0.8.2-r1.apk
4.61KB
2024-10-25 23:07:52
wireguard-go-0.0.20230223-r7.apk
1.04MB
2024-10-25 23:07:52
wireguard-go-doc-0.0.20230223-r7.apk
3.59KB
2024-10-25 23:07:52
wiremapper-0.10.0-r0.apk
21.65KB
2024-10-25 23:07:52
wiringx-0_git20240317-r1.apk
48.66KB
2024-10-25 23:07:52
wiringx-dev-0_git20240317-r1.apk
67.30KB
2024-10-25 23:07:52
witchery-0.0.3-r2.apk
3.20KB
2024-10-25 23:07:52
wk-adblock-0.0.4-r5.apk
172.39KB
2024-10-25 23:07:52
wk-adblock-doc-0.0.4-r5.apk
2.09KB
2024-10-25 23:07:52
wl-clipboard-x11-5-r3.apk
3.42KB
2024-10-25 23:07:52
wl-clipboard-x11-doc-5-r3.apk
2.94KB
2024-10-25 23:07:52
wl-gammarelay-0.1.1-r9.apk
1.44MB
2024-10-25 23:07:52
wlavu-0_git20201101-r1.apk
11.37KB
2024-10-25 23:07:52
wlclock-1.0.1-r0.apk
14.99KB
2024-10-25 23:07:52
wlclock-doc-1.0.1-r0.apk
3.29KB
2024-10-25 23:07:52
wlopm-0.1.0-r0.apk
6.07KB
2024-10-25 23:07:52
wlopm-doc-0.1.0-r0.apk
2.02KB
2024-10-25 23:07:52
wlrobs-1.0-r4.apk
18.22KB
2024-10-25 23:07:52
wlroots0.12-0.12.0-r1.apk
209.00KB
2024-10-25 23:07:52
wlroots0.12-dbg-0.12.0-r1.apk
0.97MB
2024-10-25 23:07:52
wlroots0.12-dev-0.12.0-r1.apk
60.69KB
2024-10-25 23:07:52
wlroots0.15-0.15.1-r6.apk
263.93KB
2024-10-25 23:07:52
wlroots0.15-dbg-0.15.1-r6.apk
1.21MB
2024-10-25 23:07:52
wlroots0.15-dev-0.15.1-r6.apk
70.42KB
2024-10-25 23:07:52
wlroots0.16-0.16.2-r0.apk
316.50KB
2024-10-28 15:47:21
wlroots0.16-dbg-0.16.2-r0.apk
1.28MB
2024-10-28 15:47:21
wlroots0.16-dev-0.16.2-r0.apk
70.65KB
2024-10-28 15:47:21
wlroots0.17-0.17.4-r1.apk
349.85KB
2024-11-23 11:00:08
wlroots0.17-dbg-0.17.4-r1.apk
1.46MB
2024-11-23 11:00:08
wlroots0.17-dev-0.17.4-r1.apk
77.37KB
2024-11-23 11:00:08
wlvncc-0.0.0_git20241130-r0.apk
72.59KB
2024-12-17 22:02:51
wmctrl-1.07-r1.apk
13.71KB
2024-10-25 23:07:52
wmctrl-doc-1.07-r1.apk
5.10KB
2024-10-25 23:07:52
wmi-client-1.3.16-r5.apk
2.30MB
2024-10-25 23:07:52
wok-3.0.0-r6.apk
156.60KB
2024-10-25 23:07:52
wok-doc-3.0.0-r6.apk
3.67KB
2024-10-25 23:07:52
wok-lang-3.0.0-r6.apk
16.04KB
2024-10-25 23:07:52
wok-pyc-3.0.0-r6.apk
118.68KB
2024-10-25 23:07:52
wol-0.7.1-r3.apk
24.72KB
2024-10-25 23:07:52
wol-doc-0.7.1-r3.apk
5.52KB
2024-10-25 23:07:52
wol-lang-0.7.1-r3.apk
8.16KB
2024-10-25 23:07:52
wolfssh-1.4.17-r0.apk
130.91KB
2024-10-25 23:07:52
wolfssh-dev-1.4.17-r0.apk
170.78KB
2024-10-25 23:07:52
wordgrinder-0.8-r2.apk
444.38KB
2024-10-25 23:07:53
wordgrinder-doc-0.8-r2.apk
18.35KB
2024-10-25 23:07:53
wpa_actiond-1.4-r7.apk
9.27KB
2024-10-25 23:07:53
wpa_actiond-openrc-1.4-r7.apk
2.22KB
2024-10-25 23:07:53
wput-0.6.2-r4.apk
38.60KB
2024-10-25 23:07:53
wput-doc-0.6.2-r4.apk
8.25KB
2024-10-25 23:07:53
wroomd-0.1.0-r0.apk
1.01MB
2024-10-25 23:07:53
wroomd-openrc-0.1.0-r0.apk
1.68KB
2024-10-25 23:07:53
wshowkeys-1.0-r0.apk
12.31KB
2024-10-25 23:07:53
wsmancli-2.6.2-r0.apk
19.92KB
2024-10-25 23:07:53
wsmancli-doc-2.6.2-r0.apk
3.70KB
2024-10-25 23:07:53
wtfutil-0.43.0-r8.apk
18.77MB
2024-10-25 23:07:53
x11docker-7.6.0-r1.apk
113.43KB
2024-10-25 23:07:53
x11docker-doc-7.6.0-r1.apk
9.39KB
2024-10-25 23:07:53
xa-2.3.14-r0.apk
50.20KB
2024-10-25 23:07:53
xa-doc-2.3.14-r0.apk
15.25KB
2024-10-25 23:07:53
xandikos-0.2.12-r1.apk
91.24KB
2024-11-25 05:43:10
xandikos-doc-0.2.12-r1.apk
2.36KB
2024-11-25 05:43:10
xandikos-openrc-0.2.12-r1.apk
2.14KB
2024-11-25 05:43:10
xandikos-pyc-0.2.12-r1.apk
188.78KB
2024-11-25 05:43:10
xcape-1.2-r0.apk
6.36KB
2024-10-25 23:07:53
xcape-doc-1.2-r0.apk
2.84KB
2024-10-25 23:07:53
xcompmgr-1.1.9-r0.apk
14.88KB
2024-10-25 23:07:53
xcompmgr-doc-1.1.9-r0.apk
2.61KB
2024-10-25 23:07:53
xdg-ninja-0.2.0.2-r0.apk
70.45KB
2024-10-25 23:07:53
xed-3.8.1-r0.apk
1.06MB
2024-12-24 08:13:20
xed-dev-3.8.1-r0.apk
13.60KB
2024-12-24 08:13:20
xed-doc-3.8.1-r0.apk
970.84KB
2024-12-24 08:13:20
xed-lang-3.8.1-r0.apk
2.08MB
2024-12-24 08:13:20
xed-python-3.8.1-r0.apk
24.26KB
2024-12-24 08:13:20
xendmail-0.4.3-r0.apk
855.72KB
2024-10-25 23:07:54
xendmail-doc-0.4.3-r0.apk
2.50KB
2024-10-25 23:07:54
xfce4-hamster-plugin-1.17-r0.apk
30.64KB
2024-10-25 23:07:54
xfce4-hamster-plugin-lang-1.17-r0.apk
5.07KB
2024-10-25 23:07:54
xfce4-mixer-4.18.1-r2.apk
81.95KB
2024-10-25 23:07:54
xfce4-mixer-doc-4.18.1-r2.apk
2.48KB
2024-10-25 23:07:54
xfce4-mixer-lang-4.18.1-r2.apk
58.74KB
2024-10-25 23:07:54
xfce4-panel-profiles-1.0.14-r1.apk
56.52KB
2024-10-25 23:07:54
xfce4-panel-profiles-doc-1.0.14-r1.apk
19.42KB
2024-10-25 23:07:54
xfce4-panel-profiles-lang-1.0.14-r1.apk
44.31KB
2024-10-25 23:07:54
xfd-1.1.4-r0.apk
12.89KB
2024-10-25 23:07:54
xfd-doc-1.1.4-r0.apk
4.93KB
2024-10-25 23:07:54
xfe-1.46.2-r0.apk
1.33MB
2024-10-25 23:07:54
xfe-doc-1.46.2-r0.apk
3.99KB
2024-10-25 23:07:54
xfe-lang-1.46.2-r0.apk
533.74KB
2024-10-25 23:07:54
xfe-xfi-1.46.2-r0.apk
262.29KB
2024-10-25 23:07:54
xfe-xfp-1.46.2-r0.apk
237.94KB
2024-10-25 23:07:54
xfe-xfw-1.46.2-r0.apk
276.97KB
2024-10-25 23:07:54
xgalaga-2.1.1.0-r1.apk
275.59KB
2024-10-25 23:07:54
xgalaga-doc-2.1.1.0-r1.apk
2.55KB
2024-10-25 23:07:54
xiccd-0.3.0_git20211219-r1.apk
14.89KB
2024-10-25 23:07:54
xiccd-doc-0.3.0_git20211219-r1.apk
3.30KB
2024-10-25 23:07:54
xisxwayland-2-r1.apk
3.87KB
2024-10-25 23:07:54
xisxwayland-doc-2-r1.apk
1.96KB
2024-10-25 23:07:54
xkb-switch-1.8.5-r0.apk
16.41KB
2024-10-25 23:07:54
xkb-switch-doc-1.8.5-r0.apk
1.89KB
2024-10-25 23:07:54
xlhtml-0.5.1-r0.apk
10.74KB
2024-10-25 23:07:54
xlhtml-doc-0.5.1-r0.apk
2.48KB
2024-10-25 23:07:54
xload-1.1.4-r0.apk
6.22KB
2024-10-25 23:07:54
xload-doc-1.1.4-r0.apk
3.21KB
2024-10-25 23:07:54
xmag-1.0.8-r0.apk
15.80KB
2024-10-25 23:07:54
xmag-doc-1.0.8-r0.apk
4.74KB
2024-10-25 23:07:54
xml2rfc-3.25.0-r0.apk
349.68KB
2024-12-12 21:34:47
xml2rfc-pyc-3.25.0-r0.apk
403.75KB
2024-12-12 21:34:47
xmp-4.2.0-r0.apk
21.77KB
2024-10-25 23:07:54
xmp-doc-4.2.0-r0.apk
5.30KB
2024-10-25 23:07:54
xmpp-dns-0.2.4-r19.apk
1.58MB
2024-10-25 23:07:54
xmppipe-0.16.0-r1.apk
15.45KB
2024-10-25 23:07:54
xone-src-0.3_git20230517-r0.apk
43.42KB
2024-10-25 23:07:54
xonsh-0.19.0-r0.apk
584.38KB
2024-12-25 20:53:26
xonsh-pyc-0.19.0-r0.apk
1.01MB
2024-12-25 20:53:26
xosview-1.24-r0.apk
111.62KB
2024-10-25 23:07:54
xosview-doc-1.24-r0.apk
12.50KB
2024-10-25 23:07:54
xsane-0.999-r2.apk
1.51MB
2024-10-25 23:07:54
xsane-doc-0.999-r2.apk
4.31KB
2024-10-25 23:07:54
xsane-lang-0.999-r2.apk
440.33KB
2024-10-25 23:07:54
xsecurelock-1.9.0-r1.apk
61.72KB
2024-10-25 23:07:54
xsecurelock-doc-1.9.0-r1.apk
17.75KB
2024-10-25 23:07:54
xsoldier-1.8-r2.apk
68.44KB
2024-10-25 23:07:54
xsoldier-doc-1.8-r2.apk
2.62KB
2024-10-25 23:07:54
xtensor-0.24.7-r0.apk
270.17KB
2024-10-25 23:07:54
xtl-0.7.7-r0.apk
110.54KB
2024-10-25 23:07:54
xva-img-1.5-r0.apk
16.40KB
2024-10-25 23:07:54
xvidtune-1.0.4-r0.apk
16.33KB
2024-10-25 23:07:54
xvidtune-doc-1.0.4-r0.apk
4.17KB
2024-10-25 23:07:54
xvkbd-4.1-r2.apk
294.01KB
2024-10-25 23:07:54
xvkbd-doc-4.1-r2.apk
10.77KB
2024-10-25 23:07:54
yamkix-0.10.0-r1.apk
14.23KB
2024-10-25 23:07:54
yamkix-pyc-0.10.0-r1.apk
11.77KB
2024-10-25 23:07:54
yamlfmt-0.15.0-r0.apk
1.55MB
2025-01-12 00:13:56
yamlfmt-doc-0.15.0-r0.apk
5.46KB
2025-01-12 00:13:56
yarn-berry-4.6.0-r0.apk
970.22KB
2025-01-07 09:04:59
yaru-common-23.10.0-r1.apk
4.48KB
2024-12-01 04:28:49
yaru-icon-theme-23.10.0-r1.apk
34.67MB
2024-12-01 04:28:49
yaru-icon-theme-bark-23.10.0-r1.apk
1.04MB
2024-12-01 04:28:49
yaru-icon-theme-blue-23.10.0-r1.apk
1.08MB
2024-12-01 04:28:49
yaru-icon-theme-magenta-23.10.0-r1.apk
1.06MB
2024-12-01 04:28:49
yaru-icon-theme-mate-23.10.0-r1.apk
1.24MB
2024-12-01 04:28:49
yaru-icon-theme-olive-23.10.0-r1.apk
1.05MB
2024-12-01 04:28:49
yaru-icon-theme-prussiangreen-23.10.0-r1.apk
1.07MB
2024-12-01 04:28:49
yaru-icon-theme-purple-23.10.0-r1.apk
1.10MB
2024-12-01 04:28:49
yaru-icon-theme-red-23.10.0-r1.apk
1.11MB
2024-12-01 04:28:49
yaru-icon-theme-sage-23.10.0-r1.apk
1.09MB
2024-12-01 04:28:49
yaru-icon-theme-viridian-23.10.0-r1.apk
1.06MB
2024-12-01 04:28:49
yaru-schemas-23.10.0-r1.apk
1.80KB
2024-12-01 04:28:49
yaru-shell-23.10.0-r1.apk
735.46KB
2024-12-01 04:28:49
yaru-sounds-23.10.0-r1.apk
675.62KB
2024-12-01 04:28:49
yaru-theme-23.10.0-r1.apk
839.50KB
2024-12-01 04:28:49
yaru-theme-bark-23.10.0-r1.apk
762.90KB
2024-12-01 04:28:49
yaru-theme-blue-23.10.0-r1.apk
764.56KB
2024-12-01 04:28:49
yaru-theme-hdpi-23.10.0-r1.apk
73.21KB
2024-12-01 04:28:49
yaru-theme-magenta-23.10.0-r1.apk
761.36KB
2024-12-01 04:28:49
yaru-theme-mate-23.10.0-r1.apk
727.37KB
2024-12-01 04:28:49
yaru-theme-olive-23.10.0-r1.apk
759.12KB
2024-12-01 04:28:49
yaru-theme-prussiangreen-23.10.0-r1.apk
759.68KB
2024-12-01 04:28:49
yaru-theme-purple-23.10.0-r1.apk
756.46KB
2024-12-01 04:28:49
yaru-theme-red-23.10.0-r1.apk
760.38KB
2024-12-01 04:28:49
yaru-theme-sage-23.10.0-r1.apk
762.45KB
2024-12-01 04:28:49
yaru-theme-viridian-23.10.0-r1.apk
759.62KB
2024-12-01 04:28:49
yazi-0.4.2-r0.apk
4.53MB
2024-12-20 18:10:30
yazi-bash-completion-0.4.2-r0.apk
2.04KB
2024-12-20 18:10:30
yazi-cli-0.4.2-r0.apk
0.97MB
2024-12-20 18:10:30
yazi-doc-0.4.2-r0.apk
2.19KB
2024-12-20 18:10:30
yazi-fish-completion-0.4.2-r0.apk
1.78KB
2024-12-20 18:10:30
yazi-zsh-completion-0.4.2-r0.apk
2.11KB
2024-12-20 18:10:30
ydcv-0.7-r8.apk
20.02KB
2024-10-25 23:07:55
ydcv-pyc-0.7-r8.apk
10.58KB
2024-10-25 23:07:55
ydcv-zsh-completion-0.7-r8.apk
2.15KB
2024-10-25 23:07:55
yeti-1.1-r0.apk
816.31KB
2024-10-25 23:07:55
yices2-2.6.4-r0.apk
1.95MB
2024-10-25 23:07:55
yices2-dev-2.6.4-r0.apk
41.05KB
2024-10-25 23:07:55
yices2-libs-2.6.4-r0.apk
730.21KB
2024-10-25 23:07:55
yodl-4.02.00-r1.apk
130.61KB
2024-10-25 23:07:55
yodl-doc-4.02.00-r1.apk
58.99KB
2024-10-25 23:07:55
yoshimi-2.3.2-r0.apk
5.68MB
2024-10-25 23:07:55
yoshimi-doc-2.3.2-r0.apk
4.46MB
2024-10-25 23:07:55
yosys-0.42-r0.apk
17.68MB
2024-10-25 23:07:55
yosys-dev-0.42-r0.apk
118.69KB
2024-10-25 23:07:55
youtube-tui-0.8.0-r0.apk
1.38MB
2024-10-25 23:07:55
youtube-viewer-3.11.1-r0.apk
83.46KB
2024-10-25 23:07:55
youtube-viewer-doc-3.11.1-r0.apk
40.76KB
2024-10-25 23:07:55
youtube-viewer-gtk-3.11.1-r0.apk
170.96KB
2024-10-25 23:07:55
yubikey-agent-0.1.6-r7.apk
1.61MB
2024-10-25 23:07:55
z-1.12-r0.apk
4.58KB
2024-10-25 23:07:55
z-doc-1.12-r0.apk
3.89KB
2024-10-25 23:07:55
zafiro-icon-theme-1.3-r0.apk
19.23MB
2024-10-25 23:07:56
zapret-0.0.0_git20220125-r1.apk
77.10KB
2024-10-25 23:07:56
zapret-doc-0.0.0_git20220125-r1.apk
98.46KB
2024-10-25 23:07:56
zapret-openrc-0.0.0_git20220125-r1.apk
2.08KB
2024-10-25 23:07:56
zarchive-0.1.2-r2.apk
15.15KB
2024-10-25 23:07:56
zarchive-dev-0.1.2-r2.apk
6.74KB
2024-10-25 23:07:56
zarchive-libs-0.1.2-r2.apk
22.13KB
2024-10-25 23:07:56
zfs-src-2.2.4-r1.apk
32.41MB
2025-01-09 13:46:14
zfsbootmenu-2.3.0-r1.apk
128.24KB
2024-10-25 23:07:56
zfsbootmenu-doc-2.3.0-r1.apk
15.79KB
2024-10-25 23:07:56
zile-2.6.2-r1.apk
110.01KB
2024-10-25 23:07:56
zile-doc-2.6.2-r1.apk
15.81KB
2024-10-25 23:07:56
zita-njbridge-0.4.8-r1.apk
25.83KB
2024-10-25 23:07:56
zita-njbridge-doc-0.4.8-r1.apk
5.24KB
2024-10-25 23:07:56
zita-resampler-1.10.1-r0.apk
16.22KB
2024-10-25 23:07:56
zita-resampler-dev-1.10.1-r0.apk
3.36KB
2024-10-25 23:07:56
zita-resampler-doc-1.10.1-r0.apk
4.06KB
2024-10-25 23:07:56
znc-backlog-0_git20210503-r8.apk
19.57KB
2024-10-25 23:07:56
znc-clientbuffer-1.0.48-r8.apk
16.62KB
2024-10-25 23:07:56
znc-playback-0_git20210503-r8.apk
17.86KB
2024-10-25 23:07:56
znc-push-0_git20220823-r8.apk
84.97KB
2024-10-25 23:07:56
zsh-fzf-tab-0_git20220331-r1.apk
16.05KB
2024-10-25 23:07:56
zsh-histdb-skim-0.8.6-r0.apk
753.89KB
2024-10-25 23:07:56
zsh-manydots-magic-0_git20230607-r1.apk
2.84KB
2024-10-25 23:07:56
zutty-0.16-r0.apk
148.56KB
2025-01-12 23:01:06
zutty-doc-0.16-r0.apk
66.56KB
2025-01-12 23:01:06
zvbi-0.2.43-r0.apk
179.88KB
2024-12-07 22:32:12
zvbi-doc-0.2.43-r0.apk
21.04KB
2024-12-07 22:32:12
zycore-1.5.0-r0.apk
19.73KB
2024-10-25 23:07:56
zycore-dev-1.5.0-r0.apk
38.39KB
2024-10-25 23:07:56
zycore-doc-1.5.0-r0.apk
394.11KB
2024-10-25 23:07:56
zydis-4.1.0-r0.apk
210.74KB
2024-10-25 23:07:56
zydis-dev-4.1.0-r0.apk
60.82KB
2024-10-25 23:07:56
zydis-doc-4.1.0-r0.apk
1.58MB
2024-10-25 23:07:56
10" >