Home
/
alpine
/
edge
/
testing
/
s390x
File Name
Size
Date
..
—
—
3proxy-0.9.5-r1.apk
406.71KB
2025-11-17 14:54:40
3proxy-doc-0.9.5-r1.apk
26.38KB
2025-11-17 14:54:40
3proxy-openrc-0.9.5-r1.apk
1.69KB
2025-11-17 14:54:40
3proxy-systemd-0.9.5-r1.apk
1.85KB
2025-11-17 14:54:40
66-0.8.2.1-r0.apk
311.98KB
2025-06-03 22:11:28
66-dbg-0.8.2.1-r0.apk
750.18KB
2025-06-03 22:11:29
66-dev-0.8.2.1-r0.apk
1.71MB
2025-06-03 22:11:29
66-doc-0.8.2.1-r0.apk
190.37KB
2025-06-03 22:11:29
66-init-0.8.2.1-r0.apk
1.54KB
2025-06-03 22:11:29
66-tools-0.1.2.0-r0.apk
60.85KB
2025-06-01 18:50:49
66-tools-dbg-0.1.2.0-r0.apk
105.60KB
2025-06-01 18:50:49
66-tools-dev-0.1.2.0-r0.apk
2.00KB
2025-06-01 18:50:49
66-tools-doc-0.1.2.0-r0.apk
39.37KB
2025-06-01 18:50:49
66-tools-nsrules-0.1.2.0-r0.apk
2.86KB
2025-06-01 18:50:49
9base-6-r2.apk
2.05MB
2024-10-25 23:29:24
9base-doc-6-r2.apk
63.72KB
2024-10-25 23:29:24
9base-troff-6-r2.apk
498.38KB
2024-10-25 23:29:24
APKINDEX.tar.gz
689.78KB
2025-12-28 23:33:05
a2jmidid-9-r3.apk
29.88KB
2024-10-25 23:29:24
a2jmidid-doc-9-r3.apk
4.19KB
2024-10-25 23:29:24
abc-0_git20240102-r0.apk
5.24MB
2024-10-25 23:29:24
abnfgen-0.21-r0.apk
18.17KB
2025-05-28 00:26:41
abnfgen-doc-0.21-r0.apk
5.07KB
2025-05-28 00:26:41
ace-of-penguins-1.4-r3.apk
172.23KB
2024-10-25 23:29:24
ace-of-penguins-doc-1.4-r3.apk
48.58KB
2024-10-25 23:29:24
acmeleaf-0.2.0-r1.apk
5.12MB
2025-12-05 00:37:06
acmeleaf-doc-0.2.0-r1.apk
5.29KB
2025-12-05 00:37:06
acmetool-0.2.2-r18.apk
4.64MB
2025-12-05 00:37:06
acmetool-doc-0.2.2-r18.apk
46.66KB
2025-12-05 00:37:06
adguardhome-0.107.71-r0.apk
11.34MB
2025-12-13 18:16:43
adguardhome-openrc-0.107.71-r0.apk
2.19KB
2025-12-13 18:16:43
adjtimex-1.29-r0.apk
19.52KB
2024-10-25 23:29:25
adjtimex-doc-1.29-r0.apk
7.09KB
2024-10-25 23:29:25
admesh-0.98.5-r0.apk
27.29KB
2024-10-25 23:29:25
admesh-dev-0.98.5-r0.apk
4.05KB
2024-10-25 23:29:25
admesh-doc-0.98.5-r0.apk
23.43KB
2024-10-25 23:29:25
advancemame-3.9-r4.apk
12.44MB
2024-10-25 23:29:26
advancemame-data-3.9-r4.apk
5.78MB
2024-10-25 23:29:27
advancemame-doc-3.9-r4.apk
373.57KB
2024-10-25 23:29:27
advancemame-menu-3.9-r4.apk
844.54KB
2024-10-25 23:29:27
advancemame-mess-3.9-r4.apk
3.76MB
2024-10-25 23:29:27
advancescan-1.18-r1.apk
285.86KB
2024-10-25 23:29:27
advancescan-doc-1.18-r1.apk
7.27KB
2024-10-25 23:29:27
afetch-2.2.0-r1.apk
8.42KB
2024-10-25 23:29:27
afetch-doc-2.2.0-r1.apk
13.58KB
2024-10-25 23:29:27
afew-3.0.1-r0.apk
73.13KB
2025-05-19 01:22:17
afew-doc-3.0.1-r0.apk
12.40KB
2025-05-19 01:22:17
agate-3.3.19-r0.apk
741.42KB
2025-09-29 22:34:29
agate-openrc-3.3.19-r0.apk
1.96KB
2025-09-29 22:34:29
aggregate6-1.0.14-r0.apk
7.26KB
2025-10-14 14:05:38
aggregate6-doc-1.0.14-r0.apk
2.94KB
2025-10-14 14:05:38
aggregate6-pyc-1.0.14-r0.apk
5.81KB
2025-10-14 14:05:38
agrep-0.8.0-r2.apk
8.38KB
2024-10-25 23:29:27
agrep-doc-0.8.0-r2.apk
4.11KB
2024-10-25 23:29:27
aide-0.19.2-r0.apk
90.11KB
2025-09-05 09:54:32
aide-doc-0.19.2-r0.apk
15.54KB
2025-09-05 09:54:32
alacritty-graphics-0.16.1-r0.apk
2.27MB
2025-12-18 02:01:28
alacritty-graphics-bash-completion-0.16.1-r0.apk
2.77KB
2025-12-18 02:01:28
alacritty-graphics-doc-0.16.1-r0.apk
41.10KB
2025-12-18 02:01:28
alacritty-graphics-fish-completion-0.16.1-r0.apk
3.07KB
2025-12-18 02:01:28
alacritty-graphics-zsh-completion-0.16.1-r0.apk
3.37KB
2025-12-18 02:01:28
alertmanager-irc-relay-0.5.1-r1.apk
4.88MB
2025-09-05 09:54:33
alertmanager-irc-relay-openrc-0.5.1-r1.apk
1.99KB
2025-09-05 09:54:33
alpine-lift-0.2.0-r28.apk
3.79MB
2025-12-05 00:37:07
alps-0_git20230807-r17.apk
5.72MB
2025-12-05 00:37:07
alps-openrc-0_git20230807-r17.apk
2.01KB
2025-12-05 00:37:07
alttab-1.7.1-r0.apk
40.05KB
2024-10-25 23:29:28
alttab-doc-1.7.1-r0.apk
10.30KB
2024-10-25 23:29:28
amber-0.4.0_alpha-r0.apk
539.90KB
2025-09-18 05:17:08
amber-mpris-1.2.9-r0.apk
224.62KB
2024-12-22 18:47:40
amber-mpris-dev-1.2.9-r0.apk
6.72KB
2024-12-22 18:47:40
amdgpu-fan-0.1.0-r5.apk
14.01KB
2024-10-25 23:29:28
amdgpu-fan-pyc-0.1.0-r5.apk
9.62KB
2024-10-25 23:29:28
amdgpu_top-0.11.0-r0.apk
6.95MB
2025-09-03 03:48:15
amdgpu_top-doc-0.11.0-r0.apk
3.98KB
2025-09-03 03:48:15
amiitool-2-r2.apk
6.97KB
2024-10-25 23:29:28
ampy-1.1.0-r6.apk
15.41KB
2025-03-19 15:11:48
ampy-doc-1.1.0-r6.apk
4.10KB
2025-03-19 15:11:48
ampy-pyc-1.1.0-r6.apk
19.45KB
2025-03-19 15:11:48
amule-2.3.3-r13.apk
4.55MB
2024-10-25 23:29:28
amule-doc-2.3.3-r13.apk
281.44KB
2024-10-25 23:29:28
amule-lang-2.3.3-r13.apk
1.57MB
2024-10-25 23:29:28
anarch-1.0-r1.apk
113.11KB
2024-10-25 23:29:28
anarch-doc-1.0-r1.apk
17.98KB
2024-10-25 23:29:28
anari-sdk-0.7.2-r0.apk
308.65KB
2024-10-25 23:29:28
anari-sdk-dev-0.7.2-r0.apk
58.60KB
2024-10-25 23:29:28
anari-sdk-static-0.7.2-r0.apk
187.81KB
2024-10-25 23:29:28
android-apkeep-0.17.0-r0.apk
2.11MB
2024-10-25 23:29:29
android-apktool-2.12.1-r0.apk
24.47MB
2025-10-14 17:53:49
android-file-transfer-4.5-r0.apk
201.52KB
2025-06-25 02:03:02
android-file-transfer-cli-4.5-r0.apk
115.94KB
2025-06-25 02:03:02
android-file-transfer-dev-4.5-r0.apk
1.57KB
2025-06-25 02:03:02
android-file-transfer-libs-4.5-r0.apk
134.40KB
2025-06-25 02:03:02
angband-4.2.5-r0.apk
22.73MB
2024-10-25 23:29:32
ansible-bender-0.10.1-r2.apk
35.56KB
2025-06-09 11:49:57
ansible-bender-doc-0.10.1-r2.apk
9.86KB
2025-06-09 11:49:57
ansible-bender-pyc-0.10.1-r2.apk
64.36KB
2025-06-09 11:49:57
antibody-6.1.1-r33.apk
1.86MB
2025-12-05 00:37:08
antimicrox-3.5.1-r0.apk
1.65MB
2025-06-13 16:54:12
antimicrox-doc-3.5.1-r0.apk
23.84KB
2025-06-13 16:54:12
aoetools-37-r2.apk
21.54KB
2025-01-14 15:34:51
aoetools-doc-37-r2.apk
13.75KB
2025-01-14 15:34:51
apache-mod-auth-gssapi-1.6.5-r1.apk
64.16KB
2024-10-25 23:29:33
apache2-mod-authnz-external-3.3.3-r0.apk
8.07KB
2024-10-25 23:29:33
apache2-mod-authnz-external-doc-3.3.3-r0.apk
9.98KB
2024-10-25 23:29:33
apache2-mod-maxminddb-1.2.0-r0.apk
11.31KB
2025-05-19 13:23:24
apache2-mod-perl-2.0.13-r2.apk
676.73KB
2025-06-30 10:03:59
apache2-mod-perl-dbg-2.0.13-r2.apk
69.94KB
2025-06-30 10:03:59
apache2-mod-perl-dev-2.0.13-r2.apk
37.99KB
2025-06-30 10:03:59
apache2-mod-perl-doc-2.0.13-r2.apk
304.01KB
2025-06-30 10:03:59
apache2-mod-realdoc-1-r1.apk
4.58KB
2024-10-25 23:29:33
apk-autoupdate-0_git20210421-r1.apk
13.56KB
2024-11-17 14:51:28
apk-autoupdate-doc-0_git20210421-r1.apk
6.96KB
2024-11-17 14:51:28
apk-snap-3.1.1-r0.apk
6.65KB
2024-10-25 23:29:33
apk-snap-doc-3.1.1-r0.apk
19.95KB
2024-10-25 23:29:33
aports-glmr-0.2-r33.apk
2.64MB
2025-12-05 00:37:08
appcenter-8.0.0-r0.apk
411.42KB
2024-11-12 23:55:45
appcenter-lang-8.0.0-r0.apk
258.31KB
2024-11-12 23:55:45
aprilsh-0.7.12-r10.apk
1.64KB
2025-12-05 00:37:08
aprilsh-client-0.7.12-r10.apk
3.32MB
2025-12-05 00:37:08
aprilsh-doc-0.7.12-r10.apk
14.41KB
2025-12-05 00:37:08
aprilsh-openrc-0.7.12-r10.apk
1.82KB
2025-12-05 00:37:08
aprilsh-server-0.7.12-r10.apk
2.53MB
2025-12-05 00:37:08
apt-dater-1.0.4-r4.apk
60.66KB
2024-10-25 23:29:34
apt-dater-doc-1.0.4-r4.apk
9.87KB
2024-10-25 23:29:34
apt-dater-lang-1.0.4-r4.apk
13.13KB
2024-10-25 23:29:34
apt-mirror-0.5.4-r0.apk
9.44KB
2024-10-25 23:29:34
apt-mirror-doc-0.5.4-r0.apk
4.63KB
2024-10-25 23:29:34
apt-swarm-0.5.1-r1.apk
2.96MB
2025-10-12 17:31:27
apt-swarm-bash-completion-0.5.1-r1.apk
4.19KB
2025-10-12 17:31:27
apt-swarm-fish-completion-0.5.1-r1.apk
5.74KB
2025-10-12 17:31:27
apt-swarm-openrc-0.5.1-r1.apk
1.95KB
2025-10-12 17:31:27
apt-swarm-zsh-completion-0.5.1-r1.apk
5.88KB
2025-10-12 17:31:27
aptdec-1.8.0-r1.apk
86.00KB
2025-02-09 01:40:55
aptdec-dev-1.8.0-r1.apk
3.42KB
2025-02-09 01:40:55
aptdec-libs-1.8.0-r1.apk
16.32KB
2025-02-09 01:40:55
apulse-0.1.14-r0.apk
43.92KB
2025-09-06 15:02:04
apulse-doc-0.1.14-r0.apk
2.95KB
2025-09-06 15:02:04
apx-2.4.5-r5.apk
3.58MB
2025-12-05 00:37:08
apx-doc-2.4.5-r5.apk
2.41KB
2025-12-05 00:37:08
aqemu-0.9.4-r3.apk
1.62MB
2024-10-25 23:29:34
aqemu-doc-0.9.4-r3.apk
7.52KB
2024-10-25 23:29:34
arc-20221218-r0.apk
1.75KB
2024-10-25 23:29:34
arc-cinnamon-20221218-r0.apk
68.03KB
2024-10-25 23:29:34
arc-dark-20221218-r0.apk
1.75KB
2024-10-25 23:29:34
arc-dark-cinnamon-20221218-r0.apk
68.43KB
2024-10-25 23:29:34
arc-dark-gnome-20221218-r0.apk
27.08KB
2024-10-25 23:29:34
arc-dark-gtk2-20221218-r0.apk
38.40KB
2024-10-25 23:29:34
arc-dark-gtk3-20221218-r0.apk
93.28KB
2024-10-25 23:29:34
arc-dark-gtk4-20221218-r0.apk
86.24KB
2024-10-25 23:29:34
arc-dark-metacity-20221218-r0.apk
17.47KB
2024-10-25 23:29:34
arc-dark-xfwm-20221218-r0.apk
7.87KB
2024-10-25 23:29:34
arc-darker-20221218-r0.apk
1.76KB
2024-10-25 23:29:34
arc-darker-gtk2-20221218-r0.apk
38.51KB
2024-10-25 23:29:34
arc-darker-gtk3-20221218-r0.apk
123.75KB
2024-10-25 23:29:34
arc-darker-gtk4-20221218-r0.apk
110.16KB
2024-10-25 23:29:34
arc-darker-metacity-20221218-r0.apk
17.49KB
2024-10-25 23:29:34
arc-darker-xfwm-20221218-r0.apk
7.87KB
2024-10-25 23:29:34
arc-gnome-20221218-r0.apk
28.65KB
2024-10-25 23:29:34
arc-gtk2-20221218-r0.apk
37.53KB
2024-10-25 23:29:34
arc-gtk3-20221218-r0.apk
125.87KB
2024-10-25 23:29:34
arc-gtk4-20221218-r0.apk
113.52KB
2024-10-25 23:29:34
arc-icon-theme-20161122-r0.apk
4.36MB
2024-10-25 23:29:35
arc-lighter-20221218-r0.apk
1.77KB
2024-10-25 23:29:35
arc-lighter-gtk2-20221218-r0.apk
37.54KB
2024-10-25 23:29:35
arc-lighter-gtk3-20221218-r0.apk
124.57KB
2024-10-25 23:29:35
arc-lighter-gtk4-20221218-r0.apk
112.82KB
2024-10-25 23:29:35
arc-lighter-metacity-20221218-r0.apk
17.29KB
2024-10-25 23:29:35
arc-lighter-xfwm-20221218-r0.apk
7.72KB
2024-10-25 23:29:35
arc-metacity-20221218-r0.apk
17.25KB
2024-10-25 23:29:35
arc-theme-20221218-r0.apk
1.45KB
2024-10-25 23:29:35
arc-xfwm-20221218-r0.apk
7.70KB
2024-10-25 23:29:35
argocd-3.2.0-r1.apk
42.20MB
2025-12-05 00:37:10
argocd-bash-completion-3.2.0-r1.apk
21.65KB
2025-12-05 00:37:10
argocd-doc-3.2.0-r1.apk
5.51KB
2025-12-05 00:37:10
argocd-zsh-completion-3.2.0-r1.apk
4.04KB
2025-12-05 00:37:10
arif-0.3.0-r0.apk
18.52KB
2025-11-30 22:12:31
arif-dev-0.3.0-r0.apk
3.47KB
2025-11-30 22:12:31
arif-doc-0.3.0-r0.apk
19.39KB
2025-11-30 22:12:31
arj-0_git20220125-r1.apk
158.98KB
2024-10-25 23:29:38
arj-doc-0_git20220125-r1.apk
10.46KB
2024-10-25 23:29:38
armagetronad-0.2.9.1.1-r0.apk
1.60MB
2024-10-25 23:29:38
armagetronad-doc-0.2.9.1.1-r0.apk
91.96KB
2024-10-25 23:29:38
asdf-0.18.0-r5.apk
1.69MB
2025-12-05 00:37:10
asdf-doc-0.18.0-r5.apk
2.25KB
2025-12-05 00:37:10
aspell-es-1.11-r0.apk
541.19KB
2024-10-25 23:29:38
atac-0.18.1-r0.apk
5.30MB
2024-11-25 23:57:03
atlantik-3.5.10_git20240323-r0.apk
398.44KB
2024-10-25 23:29:38
atlantik-doc-3.5.10_git20240323-r0.apk
79.31KB
2024-10-25 23:29:38
atlantik-lang-3.5.10_git20240323-r0.apk
68.63KB
2024-10-25 23:29:38
atomicparsley-20240608-r0.apk
125.00KB
2024-10-25 23:29:38
atool-0.39.0-r4.apk
17.73KB
2024-10-25 23:29:38
atool-bash-completion-0.39.0-r4.apk
2.04KB
2024-10-25 23:29:38
atool-doc-0.39.0-r4.apk
9.60KB
2024-10-25 23:29:38
aufs-util-20161219-r3.apk
202.86KB
2024-10-25 23:29:38
aufs-util-dev-20161219-r3.apk
1.46KB
2024-10-25 23:29:38
aufs-util-doc-20161219-r3.apk
33.90KB
2024-10-25 23:29:38
authenticator-rs-0.8.6-r0.apk
2.31MB
2025-09-01 21:25:35
authenticator-rs-lang-0.8.6-r0.apk
4.06KB
2025-09-01 21:25:35
autoconf-policy-0.1-r0.apk
5.49KB
2024-10-25 23:29:38
autoremove-torrents-1.5.5-r0.apk
35.44KB
2024-10-25 23:29:38
autoremove-torrents-doc-1.5.5-r0.apk
11.58KB
2024-10-25 23:29:38
autoremove-torrents-pyc-1.5.5-r0.apk
53.79KB
2024-10-25 23:29:38
autorestic-1.8.3-r10.apk
3.84MB
2025-12-05 00:37:11
autotrash-0.4.7-r0.apk
22.81KB
2024-10-25 23:29:38
autotrash-pyc-0.4.7-r0.apk
14.05KB
2024-10-25 23:29:38
avahi2dns-0.1.0-r7.apk
2.52MB
2025-12-24 19:05:32
avahi2dns-openrc-0.1.0-r7.apk
1.80KB
2025-12-24 19:05:32
avara-0.7.1-r1.apk
21.46MB
2025-07-17 08:57:50
avarice-2.14-r4.apk
104.99KB
2024-10-25 23:29:38
avarice-doc-2.14-r4.apk
9.45KB
2024-10-25 23:29:38
avra-1.4.2-r0.apk
41.92KB
2024-10-25 23:29:39
avra-dev-1.4.2-r0.apk
254.74KB
2024-10-25 23:29:39
aws-ecr-get-login-password-1.0.0_rc2-r1.apk
3.27MB
2025-12-05 00:37:11
aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk
2.33KB
2025-12-05 00:37:11
azote-1.14.0-r0.apk
7.61MB
2024-12-14 22:38:11
azote-pyc-1.14.0-r0.apk
98.01KB
2024-12-14 22:38:11
azpainter-3.0.11-r0.apk
856.19KB
2025-02-22 13:10:12
azpainter-doc-3.0.11-r0.apk
41.90KB
2025-02-22 13:10:12
azure-iot-sdk-c-static-1.11.0-r0.apk
772.17KB
2024-10-25 23:29:39
b2-tools-4.3.2-r0.apk
72.48KB
2025-05-03 12:48:10
b2-tools-pyc-4.3.2-r0.apk
135.88KB
2025-05-03 12:48:10
backup-manager-0.7.15-r1.apk
55.32KB
2024-10-25 23:29:39
bacon-3.18.0-r0.apk
1.90MB
2025-09-30 20:39:09
baikal-0.10.1-r1.apk
1.25MB
2025-05-28 00:37:21
baikal-mysql-0.10.1-r1.apk
1.29KB
2025-05-28 00:37:21
baikal-pgsql-0.10.1-r1.apk
1.29KB
2025-05-28 00:37:21
baikal-sqlite-0.10.1-r1.apk
1.44KB
2025-05-28 00:37:21
bakelite-0.4.2-r0.apk
38.68KB
2024-10-25 23:29:39
baresip-4.1.0-r0.apk
1.05MB
2025-10-03 10:59:28
baresip-dev-4.1.0-r0.apk
16.01KB
2025-10-03 10:59:28
barman-3.16.2-r0.apk
378.19KB
2025-11-20 11:16:13
barman-bash-completion-3.16.2-r0.apk
1.64KB
2025-11-20 11:16:13
barman-doc-3.16.2-r0.apk
88.86KB
2025-11-20 11:16:13
barman-pyc-3.16.2-r0.apk
594.65KB
2025-11-20 11:16:13
barnyard2-2.1.14_git20160413-r1.apk
128.55KB
2024-10-25 23:29:40
barnyard2-openrc-2.1.14_git20160413-r1.apk
2.76KB
2024-10-25 23:29:40
barrier-2.4.0-r2.apk
0.99MB
2025-02-14 17:28:28
barrier-doc-2.4.0-r2.apk
12.99KB
2025-02-14 17:28:28
bartib-1.0.1-r1.apk
400.70KB
2025-07-16 00:01:50
base64c-0.2.1-r0.apk
4.50KB
2024-10-25 23:29:40
base64c-dev-0.2.1-r0.apk
5.49KB
2024-10-25 23:29:40
bash-pinyin-completion-rs-1.0.3-r0.apk
315.60KB
2025-12-10 02:01:30
bash-pinyin-completion-rs-doc-1.0.3-r0.apk
13.58KB
2025-12-10 02:01:30
bat-extras-2024.08.24-r0.apk
5.32KB
2025-10-12 23:47:13
bat-extras-batdiff-2024.08.24-r0.apk
5.40KB
2025-10-12 23:47:13
bat-extras-batgrep-2024.08.24-r0.apk
7.35KB
2025-10-12 23:47:13
bat-extras-batman-2024.08.24-r0.apk
4.75KB
2025-10-12 23:47:13
bat-extras-batpipe-2024.08.24-r0.apk
7.03KB
2025-10-12 23:47:13
bat-extras-batwatch-2024.08.24-r0.apk
5.85KB
2025-10-12 23:47:13
bat-extras-doc-2024.08.24-r0.apk
15.52KB
2025-10-12 23:47:13
bat-extras-prettybat-2024.08.24-r0.apk
5.58KB
2025-10-12 23:47:13
batmon-0.0.1-r0.apk
451.46KB
2025-07-16 00:01:50
battery-limit-openrc-1-r0.apk
1.84KB
2025-06-17 13:18:43
bazaar-0.5.8-r0.apk
375.76KB
2025-11-02 11:54:38
bazaar-lang-0.5.8-r0.apk
109.01KB
2025-11-02 11:54:38
bazaar-systemd-0.5.8-r0.apk
1.73KB
2025-11-02 11:54:38
bcg729-1.1.1-r1.apk
34.33KB
2025-10-15 11:21:11
bcg729-dev-1.1.1-r1.apk
3.62KB
2025-10-15 11:21:11
bchunk-1.2.2-r3.apk
7.31KB
2024-10-25 23:29:40
bchunk-doc-1.2.2-r3.apk
2.99KB
2024-10-25 23:29:40
bdfr-2.6.2-r1.apk
130.93KB
2024-10-25 23:29:40
beancount-language-server-1.4.1-r0.apk
1.47MB
2025-08-03 13:41:52
beard-0.4-r0.apk
3.14KB
2024-10-25 23:29:40
beard-doc-0.4-r0.apk
2.48KB
2024-10-25 23:29:40
bees-0.10-r2.apk
292.21KB
2024-10-25 23:29:40
bees-openrc-0.10-r2.apk
1.95KB
2024-10-25 23:29:40
belcard-5.3.105-r0.apk
11.38KB
2025-02-25 14:48:43
belcard-dev-5.3.105-r0.apk
11.46KB
2025-02-25 14:48:43
belcard-libs-5.3.105-r0.apk
217.53KB
2025-02-25 14:48:43
belle-sip-5.3.105-r0.apk
734.51KB
2025-02-25 14:52:26
belle-sip-dev-5.3.105-r0.apk
53.88KB
2025-02-25 14:52:26
belr-5.3.105-r0.apk
111.49KB
2025-02-25 14:52:52
belr-dev-5.3.105-r0.apk
14.53KB
2025-02-25 14:52:52
bestline-0.0_git20211108-r0.apk
22.14KB
2024-10-25 23:29:40
bestline-dev-0.0_git20211108-r0.apk
1.68KB
2024-10-25 23:29:40
bestline-doc-0.0_git20211108-r0.apk
17.61MB
2024-10-25 23:29:42
bettercap-2.41.5-r0.apk
19.83MB
2025-12-16 04:47:33
bettercap-doc-2.41.5-r0.apk
13.59KB
2025-12-16 04:47:33
bgpq4-1.15-r0.apk
33.65KB
2024-10-25 23:29:43
bgpq4-doc-1.15-r0.apk
6.34KB
2024-10-25 23:29:43
bgs-0.8-r1.apk
5.68KB
2024-10-25 23:29:43
bgs-doc-0.8-r1.apk
2.30KB
2024-10-25 23:29:43
biboumi-9.0-r9.apk
272.95KB
2025-10-06 12:09:58
biboumi-doc-9.0-r9.apk
1.48KB
2025-10-06 12:09:58
biboumi-openrc-9.0-r9.apk
1.89KB
2025-10-06 12:09:58
bindfs-1.17.7-r1.apk
23.35KB
2025-06-19 12:30:10
bindfs-doc-1.17.7-r1.apk
9.05KB
2025-06-19 12:30:10
binwalk-3.1.0-r0.apk
1.02MB
2025-02-07 17:46:00
biometryd-0.3.3-r0.apk
337.20KB
2025-12-09 13:12:58
biometryd-dev-0.3.3-r0.apk
12.97KB
2025-12-09 13:12:58
birdtray-1.11.4-r0.apk
443.52KB
2025-12-12 19:03:58
bitlbee-facebook-1.2.2-r0.apk
60.48KB
2024-10-25 23:29:43
bitlbee-mastodon-1.4.5-r0.apk
46.93KB
2024-10-25 23:29:43
bkt-0.8.0-r0.apk
432.61KB
2024-10-25 23:29:43
bkt-doc-0.8.0-r0.apk
7.25KB
2024-10-25 23:29:43
blackbox-1.20220610-r1.apk
15.71KB
2024-10-25 23:29:43
blip-0.10-r0.apk
15.27KB
2024-10-25 23:29:43
blip-doc-0.10-r0.apk
30.71KB
2024-10-25 23:29:43
bochs-2.8-r1.apk
976.24KB
2025-02-20 20:32:38
bochs-doc-2.8-r1.apk
139.00KB
2025-02-20 20:32:38
boinc-7.24.3-r0.apk
1.60MB
2024-10-25 23:29:44
boinc-dev-7.24.3-r0.apk
592.14KB
2024-10-25 23:29:44
boinc-doc-7.24.3-r0.apk
7.99KB
2024-10-25 23:29:44
boinc-gui-7.24.3-r0.apk
1.27MB
2024-10-25 23:29:44
boinc-lang-7.24.3-r0.apk
876.95KB
2024-10-25 23:29:44
boinc-libs-7.24.3-r0.apk
217.82KB
2024-10-25 23:29:44
boinc-screensaver-7.24.3-r0.apk
133.22KB
2024-10-25 23:29:44
bomctl-0.1.9-r11.apk
9.41MB
2025-12-05 00:37:12
bomctl-bash-completion-0.1.9-r11.apk
5.35KB
2025-12-05 00:37:12
bomctl-fish-completion-0.1.9-r11.apk
4.35KB
2025-12-05 00:37:12
bomctl-zsh-completion-0.1.9-r11.apk
4.06KB
2025-12-05 00:37:12
bonzomatic-20230615-r0.apk
673.42KB
2024-10-25 23:29:44
bootchart2-0.14.9-r1.apk
136.65KB
2025-11-17 14:54:40
bootchart2-systemd-0.14.9-r1.apk
2.22KB
2025-11-17 14:54:40
bootinfo-0.1.0-r4.apk
19.43KB
2024-10-25 23:29:44
bootinfo-pyc-0.1.0-r4.apk
8.25KB
2024-10-25 23:29:44
bootloose-0.7.1-r16.apk
2.29MB
2025-12-05 00:37:12
bootterm-0.5-r0.apk
18.93KB
2024-10-25 23:29:45
bootterm-dbg-0.5-r0.apk
2.30KB
2024-10-25 23:29:45
boson-0_git20211219-r0.apk
17.58KB
2024-10-25 23:29:45
botan2-2.19.5-r0.apk
436.20KB
2025-05-29 00:37:46
botan2-dev-2.19.5-r0.apk
310.72KB
2025-05-29 00:37:46
botan2-doc-2.19.5-r0.apk
306.22KB
2025-05-29 00:37:47
botan2-libs-2.19.5-r0.apk
3.03MB
2025-05-29 00:37:47
boxes-2.3.1-r0.apk
80.37KB
2024-10-25 23:29:45
boxes-doc-2.3.1-r0.apk
7.11KB
2024-10-25 23:29:45
brial-1.2.11-r4.apk
1.05MB
2024-10-25 23:29:45
brial-dev-1.2.11-r4.apk
1.65MB
2024-10-25 23:29:45
brltty-6.7-r1.apk
2.31MB
2025-03-28 18:12:51
brltty-dev-6.7-r1.apk
140.08KB
2025-03-28 18:12:51
brltty-doc-6.7-r1.apk
9.39KB
2025-03-28 18:12:51
brltty-lang-6.7-r1.apk
148.69KB
2025-03-28 18:12:51
brltty-static-6.7-r1.apk
24.92KB
2025-03-28 18:12:51
btcd-0.25.0-r0.apk
16.06MB
2025-12-27 11:49:26
btfs-2.24-r12.apk
32.16KB
2024-10-25 23:29:47
btfs-doc-2.24-r12.apk
2.39KB
2024-10-25 23:29:47
btpd-0.16-r2.apk
81.07KB
2024-10-25 23:29:47
btpd-doc-0.16-r2.apk
8.40KB
2024-10-25 23:29:47
budgie-control-center-1.4.0-r0.apk
3.00MB
2025-10-16 20:08:11
budgie-control-center-bash-completion-1.4.0-r0.apk
2.30KB
2025-10-16 20:08:11
budgie-control-center-lang-1.4.0-r0.apk
3.92MB
2025-10-16 20:08:11
budgie-desktop-10.9.2-r0.apk
1.40MB
2025-10-16 20:08:11
budgie-desktop-dev-10.9.2-r0.apk
18.22KB
2025-10-16 20:08:11
budgie-desktop-doc-10.9.2-r0.apk
5.65KB
2025-10-16 20:08:11
budgie-desktop-lang-10.9.2-r0.apk
585.87KB
2025-10-16 20:08:11
budgie-screensaver-5.1.0-r0.apk
79.36KB
2025-10-16 20:08:11
budgie-screensaver-doc-5.1.0-r0.apk
3.34KB
2025-10-16 20:08:11
budgie-screensaver-lang-5.1.0-r0.apk
240.18KB
2025-10-16 20:08:11
budgie-session-0.9.1-r0.apk
119.12KB
2025-10-16 20:08:11
budgie-session-doc-0.9.1-r0.apk
5.29KB
2025-10-16 20:08:11
budgie-session-lang-0.9.1-r0.apk
306.61KB
2025-10-16 20:08:11
buf-1.59.0-r1.apk
14.98MB
2025-12-05 00:37:14
buf-bash-completion-1.59.0-r1.apk
8.62KB
2025-12-05 00:37:14
buf-fish-completion-1.59.0-r1.apk
4.30KB
2025-12-05 00:37:14
buf-protoc-plugins-1.59.0-r1.apk
14.20MB
2025-12-05 00:37:15
buf-zsh-completion-1.59.0-r1.apk
4.02KB
2025-12-05 00:37:15
bump2version-1.0.1-r6.apk
20.85KB
2024-10-25 23:29:49
bump2version-pyc-1.0.1-r6.apk
29.11KB
2024-10-25 23:29:49
burp-3.1.4-r0.apk
174.14KB
2024-10-25 23:29:49
burp-doc-3.1.4-r0.apk
99.41KB
2024-10-25 23:29:49
burp-server-3.1.4-r0.apk
36.13KB
2024-10-25 23:29:49
butane-0.25.1-r2.apk
3.17MB
2025-12-05 00:37:15
bwrap-oci-0.2-r1.apk
15.80KB
2024-10-25 23:29:50
bwrap-oci-doc-0.2-r1.apk
2.49KB
2024-10-25 23:29:50
bzmenu-0.2.1-r3.apk
1.11MB
2025-08-09 03:43:41
cadence-0.9.2-r1.apk
1.49MB
2025-10-09 13:54:03
caffeine-ng-4.2.0-r1.apk
100.40KB
2024-10-25 23:29:50
caffeine-ng-doc-4.2.0-r1.apk
3.16KB
2024-10-25 23:29:50
caffeine-ng-lang-4.2.0-r1.apk
34.36KB
2024-10-25 23:29:50
caja-gtkhash-plugin-1.5-r0.apk
25.81KB
2025-03-10 20:17:13
capnet-assist-8.0.0-r0.apk
45.20KB
2025-04-15 04:16:22
capnet-assist-lang-8.0.0-r0.apk
37.25KB
2025-04-15 04:16:22
caps2esc-0.3.2-r0.apk
4.55KB
2024-10-25 23:29:50
captive-browser-0_git20210801-r2.apk
1.26MB
2025-12-05 00:37:15
captive-browser-doc-0_git20210801-r2.apk
3.68KB
2025-12-05 00:37:15
cargo-geiger-0.13.0-r0.apk
5.48MB
2025-10-10 20:32:47
cargo-geiger-doc-0.13.0-r0.apk
7.68KB
2025-10-10 20:32:47
cargo-generate-0.23.5-r0.apk
2.42MB
2025-10-04 16:15:00
cargo-machete-0.9.1-r0.apk
1.33MB
2025-08-17 21:47:54
cargo-machete-doc-0.9.1-r0.apk
4.36KB
2025-08-17 21:47:54
cargo-run-bin-1.7.2-r0.apk
526.06KB
2024-10-25 23:29:50
cargo-run-bin-doc-1.7.2-r0.apk
5.07KB
2024-10-25 23:29:50
cargo-show-asm-0.2.53-r0.apk
924.54KB
2025-10-30 02:22:55
cargo-show-asm-doc-0.2.53-r0.apk
10.00KB
2025-10-30 02:22:55
cargo-shuttle-0.56.6-r0.apk
5.01MB
2025-07-29 10:56:14
cargo-shuttle-bash-completion-0.56.6-r0.apk
5.17KB
2025-07-29 10:56:14
cargo-shuttle-doc-0.56.6-r0.apk
8.99KB
2025-07-29 10:56:14
cargo-shuttle-fish-completion-0.56.6-r0.apk
9.05KB
2025-07-29 10:56:14
cargo-shuttle-zsh-completion-0.56.6-r0.apk
7.94KB
2025-07-29 10:56:14
cargo-udeps-0.1.59-r0.apk
5.00MB
2025-10-03 04:20:15
cargo-udeps-doc-0.1.59-r0.apk
7.51KB
2025-10-03 04:20:15
cargo-update-18.0.0-r0.apk
1.16MB
2025-10-28 09:24:41
cargo-update-doc-18.0.0-r0.apk
8.51KB
2025-10-28 09:24:41
cargo-vendor-filterer-0.5.18-r0.apk
664.29KB
2025-07-24 20:29:00
cartero-0.2.2-r0.apk
1.20MB
2025-10-13 02:52:44
cartero-lang-0.2.2-r0.apk
44.76KB
2025-10-13 02:52:44
castero-0.9.5-r4.apk
50.47KB
2025-05-14 21:13:38
castero-pyc-0.9.5-r4.apk
93.97KB
2025-05-14 21:13:38
castor-0.9.0-r2.apk
833.06KB
2025-08-05 20:00:18
cataclysm-dda-0h-r0.apk
19.41MB
2025-03-20 02:48:18
cataclysm-dda-curses-0h-r0.apk
11.92MB
2025-03-20 02:48:19
cataclysm-dda-doc-0h-r0.apk
4.64KB
2025-03-20 02:48:19
cataclysm-dda-lang-0h-r0.apk
37.56MB
2025-03-20 02:48:20
cataclysm-dda-tiles-0h-r0.apk
49.09MB
2025-03-20 02:48:23
catdoc-0.95-r1.apk
113.07KB
2024-10-25 23:29:51
catdoc-doc-0.95-r1.apk
9.25KB
2024-10-25 23:29:51
catfish-4.20.1-r0.apk
127.79KB
2025-07-07 22:19:01
catfish-doc-4.20.1-r0.apk
13.28KB
2025-07-07 22:19:01
catfish-lang-4.20.1-r0.apk
166.67KB
2025-07-07 22:19:01
catfish-pyc-4.20.1-r0.apk
104.10KB
2025-07-07 22:19:01
catppuccin-whiskers-2.5.1-r0.apk
1.77MB
2025-11-30 22:12:31
catppuccin-whiskers-doc-2.5.1-r0.apk
2.25KB
2025-11-30 22:12:31
cava-0.10.6-r0.apk
50.49KB
2025-09-12 11:10:44
cc65-2.19-r0.apk
8.92MB
2024-10-25 23:29:51
ccrtp-2.1.2-r0.apk
88.65KB
2024-10-25 23:29:51
ccrtp-dev-2.1.2-r0.apk
52.71KB
2024-10-25 23:29:51
ccrtp-doc-2.1.2-r0.apk
31.26KB
2024-10-25 23:29:51
ccze-0.2.1-r1.apk
50.08KB
2024-10-25 23:29:51
ccze-dev-0.2.1-r1.apk
3.32KB
2024-10-25 23:29:51
ccze-doc-0.2.1-r1.apk
8.84KB
2024-10-25 23:29:51
cdba-1.0-r2.apk
8.11KB
2024-10-25 23:29:51
cdba-server-1.0-r2.apk
22.78KB
2024-10-25 23:29:51
cddlib-0.94m-r2.apk
220.45KB
2024-10-25 23:29:51
cddlib-dev-0.94m-r2.apk
14.09KB
2024-10-25 23:29:51
cddlib-doc-0.94m-r2.apk
863.73KB
2024-10-25 23:29:51
cddlib-static-0.94m-r2.apk
290.36KB
2024-10-25 23:29:51
cddlib-tools-0.94m-r2.apk
39.51KB
2024-10-25 23:29:51
cdist-7.0.0-r6.apk
510.99KB
2024-10-25 23:29:51
cdist-pyc-7.0.0-r6.apk
127.58KB
2024-10-25 23:29:51
cdogs-sdl-2.3.2-r0.apk
28.06MB
2025-10-09 21:46:02
certbot-dns-hetzner-2.0.1-r1.apk
10.14KB
2025-10-06 15:00:36
certbot-dns-hetzner-pyc-2.0.1-r1.apk
6.46KB
2025-10-06 15:00:36
certbot-dns-njalla-2.0.0-r0.apk
9.30KB
2024-11-28 01:02:44
certbot-dns-njalla-pyc-2.0.0-r0.apk
4.21KB
2024-11-28 01:02:44
certbot-dns-pdns-0.1.1-r1.apk
8.61KB
2025-08-28 04:20:05
certbot-dns-pdns-pyc-0.1.1-r1.apk
3.87KB
2025-08-28 04:20:05
certigo-1.16.0-r28.apk
3.82MB
2025-12-05 00:37:15
certstrap-1.3.0-r29.apk
2.35MB
2025-12-05 00:37:15
cfssl-1.6.5-r10.apk
30.14MB
2025-12-05 00:37:17
cgiirc-0.5.12-r1.apk
132.50KB
2024-10-25 23:29:57
cgo-0.6.1-r1.apk
10.20KB
2024-10-25 23:29:57
cgo-doc-0.6.1-r1.apk
4.11KB
2024-10-25 23:29:57
charls-2.4.2-r0.apk
68.81KB
2024-10-25 23:29:58
charls-dev-2.4.2-r0.apk
26.61KB
2024-10-25 23:29:58
charta-0.8.2-r1.apk
2.05MB
2025-12-05 00:37:17
chasquid-1.17.0-r0.apk
11.43MB
2025-12-19 17:56:51
chasquid-doc-1.17.0-r0.apk
10.86KB
2025-12-19 17:56:51
chasquid-openrc-1.17.0-r0.apk
1.96KB
2025-12-19 17:56:51
checkpolicy-3.6-r0.apk
378.68KB
2024-10-25 23:29:58
checkpolicy-doc-3.6-r0.apk
4.17KB
2024-10-25 23:29:58
cherrytree-1.4.0-r0.apk
2.79MB
2025-03-26 19:41:30
cherrytree-doc-1.4.0-r0.apk
2.10KB
2025-03-26 19:41:30
cherrytree-lang-1.4.0-r0.apk
858.56KB
2025-03-26 19:41:30
chess-tui-2.0.0-r0.apk
1.36MB
2025-12-13 03:10:51
chess-tui-doc-2.0.0-r0.apk
2.24KB
2025-12-13 03:10:51
chimerautils-15.0.2-r0.apk
1.32MB
2025-12-08 19:21:25
chimerautils-dbg-15.0.2-r0.apk
3.08MB
2025-12-08 19:21:26
chocolate-doom-3.1.1-r0.apk
1.86MB
2025-08-19 04:30:46
chocolate-doom-doc-3.1.1-r0.apk
232.61KB
2025-08-19 04:30:46
cilium-cli-0.16.13-r10.apk
55.32MB
2025-12-05 00:37:20
cilium-cli-bash-completion-0.16.13-r10.apk
5.07KB
2025-12-05 00:37:20
cilium-cli-fish-completion-0.16.13-r10.apk
4.33KB
2025-12-05 00:37:20
cilium-cli-zsh-completion-0.16.13-r10.apk
4.05KB
2025-12-05 00:37:20
cimg-3.4.1-r0.apk
825.98KB
2024-10-25 23:30:03
circuslinux-1.0.3-r1.apk
20.73KB
2024-10-25 23:30:03
circuslinux-data-1.0.3-r1.apk
1.13MB
2024-10-25 23:30:03
circuslinux-doc-1.0.3-r1.apk
17.97KB
2024-10-25 23:30:03
ckb-next-0.6.2-r1.apk
1.39MB
2025-09-05 14:58:39
ckb-next-daemon-0.6.2-r1.apk
77.82KB
2025-09-05 14:58:39
ckb-next-daemon-openrc-0.6.2-r1.apk
1.84KB
2025-09-05 14:58:39
ckb-next-dev-0.6.2-r1.apk
4.93KB
2025-09-05 14:58:39
clatd-1.6-r0.apk
12.61KB
2024-10-25 23:30:03
clementine-1.4.1_git20250503-r0.apk
6.59MB
2025-06-12 16:21:08
clevis-21-r0.apk
54.16KB
2025-01-20 06:17:23
clevis-bash-completion-21-r0.apk
2.04KB
2025-01-20 06:17:23
clevis-dbg-21-r0.apk
60.18KB
2025-01-20 06:17:23
clevis-doc-21-r0.apk
23.17KB
2025-01-20 06:17:23
clevis-extra-pins-0_git20230629-r0.apk
4.65KB
2024-10-25 23:30:03
click-0.5.2-r4.apk
161.77KB
2025-02-14 17:28:28
click-dev-0.5.2-r4.apk
9.13KB
2025-02-14 17:28:28
click-doc-0.5.2-r4.apk
3.31KB
2025-02-14 17:28:28
click-pyc-0.5.2-r4.apk
174.78KB
2025-02-14 17:28:28
clinfo-3.0.23.01.25-r0.apk
48.58KB
2024-10-25 23:30:03
clinfo-doc-3.0.23.01.25-r0.apk
6.47KB
2024-10-25 23:30:03
cliphist-0.7.0-r1.apk
1.02MB
2025-12-05 00:37:20
cliphist-fzf-0.7.0-r1.apk
1.80KB
2025-12-05 00:37:20
clipit-1.4.5-r3.apk
68.24KB
2024-10-25 23:30:03
clipit-doc-1.4.5-r3.apk
2.38KB
2024-10-25 23:30:03
cliquer-1.23-r0.apk
7.56KB
2025-08-12 07:16:07
cliquer-dev-1.23-r0.apk
7.50KB
2025-08-12 07:16:07
cliquer-libs-1.23-r0.apk
29.07KB
2025-08-12 07:16:07
cliquer-static-1.23-r0.apk
33.34KB
2025-08-12 07:16:07
cliquer-tests-1.23-r0.apk
24.00KB
2025-08-12 07:16:07
cln-1.3.7-r1.apk
479.76KB
2025-05-25 10:50:08
cln-dev-1.3.7-r1.apk
1.10MB
2025-05-25 10:50:08
cln-doc-1.3.7-r1.apk
77.34KB
2025-05-25 10:50:08
cloudflared-2024.12.1-r11.apk
9.45MB
2025-12-05 00:37:21
cloudflared-doc-2024.12.1-r11.apk
1.91KB
2025-12-05 00:37:21
cloudflared-openrc-2024.12.1-r11.apk
1.79KB
2025-12-05 00:37:21
cloudfoundry-cli-8.7.9-r14.apk
9.20MB
2025-12-05 00:37:21
cluster-glue-1.0.12-r5.apk
260.12KB
2024-10-25 23:30:04
cluster-glue-dev-1.0.12-r5.apk
1.04MB
2024-10-25 23:30:04
cluster-glue-doc-1.0.12-r5.apk
32.93KB
2024-10-25 23:30:04
cluster-glue-libs-1.0.12-r5.apk
117.03KB
2024-10-25 23:30:04
cobang-1.8.1-r0.apk
40.84KB
2025-10-23 08:12:32
cobang-lang-1.8.1-r0.apk
10.14KB
2025-10-23 08:12:32
coccinelle-1.1.1-r2.apk
8.17MB
2024-10-25 23:30:05
coccinelle-bash-completion-1.1.1-r2.apk
2.85KB
2024-10-25 23:30:05
coccinelle-doc-1.1.1-r2.apk
15.98KB
2024-10-25 23:30:05
cocogitto-6.5.0-r0.apk
1.96MB
2025-11-02 20:20:57
cocogitto-bash-completion-6.5.0-r0.apk
3.17KB
2025-11-02 20:20:57
cocogitto-doc-6.5.0-r0.apk
39.50KB
2025-11-02 20:20:57
cocogitto-fish-completion-6.5.0-r0.apk
3.75KB
2025-11-02 20:20:57
cocogitto-zsh-completion-6.5.0-r0.apk
3.17KB
2025-11-02 20:20:57
code-minimap-0.6.7-r0.apk
422.44KB
2024-12-12 21:46:25
code-minimap-doc-0.6.7-r0.apk
7.99KB
2024-12-12 21:46:25
codec2-1.2.0-r1.apk
677.22KB
2025-11-22 20:51:39
codec2-dev-1.2.0-r1.apk
15.41KB
2025-11-22 20:51:39
colormake-0.9.20170221-r0.apk
4.00KB
2024-10-25 23:30:06
colormake-doc-0.9.20170221-r0.apk
2.65KB
2024-10-25 23:30:06
colorpicker-0_git20201128-r1.apk
4.21KB
2024-10-25 23:30:06
comet-0.3.1-r0.apk
2.81MB
2025-11-30 22:12:31
comics-downloader-0.33.8-r15.apk
3.89MB
2025-12-05 00:37:21
comics-downloader-gui-0.33.8-r15.apk
5.67MB
2025-12-05 00:37:22
commit-lsp-0.1.0-r0.apk
2.16MB
2025-05-08 14:05:33
commoncpp-7.0.1-r1.apk
303.35KB
2024-10-25 23:30:06
commoncpp-dev-7.0.1-r1.apk
173.03KB
2024-10-25 23:30:06
commoncpp-doc-7.0.1-r1.apk
14.83KB
2024-10-25 23:30:06
commoncpp-tools-7.0.1-r1.apk
43.84KB
2024-10-25 23:30:06
compiz-0.9.14.2-r13.apk
6.26MB
2025-11-29 02:02:56
compiz-dev-0.9.14.2-r13.apk
117.21KB
2025-11-29 02:02:56
compiz-lang-0.9.14.2-r13.apk
1.21MB
2025-11-29 02:02:56
compiz-pyc-0.9.14.2-r13.apk
111.43KB
2025-11-29 02:02:56
compiz-utils-0.9.14.2-r13.apk
3.33KB
2025-11-29 02:02:56
comrak-0.49.0-r0.apk
1.14MB
2025-12-14 16:23:09
comrak-doc-0.49.0-r0.apk
10.65KB
2025-12-14 16:23:09
conntracct-0.2.7-r36.apk
5.17MB
2025-12-05 00:37:22
conntracct-openrc-0.2.7-r36.apk
1.92KB
2025-12-05 00:37:22
conserver-8.2.7-r0.apk
139.52KB
2025-12-18 19:44:58
conserver-doc-8.2.7-r0.apk
27.68KB
2025-12-18 19:44:58
conserver-openrc-8.2.7-r0.apk
1.67KB
2025-12-18 19:44:58
console_bridge-1.0.2-r0.apk
9.41KB
2024-10-25 23:30:08
console_bridge-dev-1.0.2-r0.apk
4.73KB
2024-10-25 23:30:08
consul-replicate-0.4.0-r36.apk
2.97MB
2025-12-05 00:37:22
contractor-0.3.5-r0.apk
27.75KB
2024-11-12 23:54:15
copyq-13.0.0-r1.apk
2.75MB
2025-12-05 00:37:22
copyq-bash-completion-13.0.0-r1.apk
2.27KB
2025-12-05 00:37:22
copyq-doc-13.0.0-r1.apk
3.49KB
2025-12-05 00:37:22
corosync-3.1.10-r0.apk
284.34KB
2025-12-27 12:37:37
corosync-dev-3.1.10-r0.apk
456.07KB
2025-12-27 12:37:37
corosync-doc-3.1.10-r0.apk
190.59KB
2025-12-27 12:37:37
corosync-openrc-3.1.10-r0.apk
1.78KB
2025-12-27 12:37:37
cortex-tenant-1.15.7-r2.apk
4.28MB
2025-12-05 00:37:22
cortex-tenant-openrc-1.15.7-r2.apk
2.04KB
2025-12-05 00:37:22
cowsay-3.04-r2.apk
18.38KB
2024-10-25 23:30:09
cowsay-doc-3.04-r2.apk
4.00KB
2024-10-25 23:30:09
cpdf-2.8.1-r0.apk
2.44MB
2025-05-08 14:05:33
cpdf-doc-2.8.1-r0.apk
558.01KB
2025-05-08 14:05:33
cpiped-0.1.0-r0.apk
6.95KB
2024-10-25 23:30:09
cpp-httplib-0.28.0-r0.apk
84.85KB
2025-11-26 15:45:07
cpp-httplib-doc-0.28.0-r0.apk
13.43KB
2025-11-26 15:45:07
cpplint-2.0.2-r0.apk
80.29KB
2025-04-15 04:16:29
cpplint-pyc-2.0.2-r0.apk
99.49KB
2025-04-15 04:16:29
crazydiskinfo-1.1.0-r1.apk
34.85KB
2024-10-25 23:30:09
createrepo_c-1.1.4-r0.apk
51.16KB
2024-10-25 23:30:09
createrepo_c-bash-completion-1.1.4-r0.apk
2.88KB
2024-10-25 23:30:09
createrepo_c-dev-1.1.4-r0.apk
31.47KB
2024-10-25 23:30:09
createrepo_c-doc-1.1.4-r0.apk
8.65KB
2024-10-25 23:30:09
createrepo_c-libs-1.1.4-r0.apk
92.44KB
2024-10-25 23:30:09
crispy-doom-7.1-r0.apk
2.07MB
2025-09-29 22:34:29
crispy-doom-doc-7.1-r0.apk
107.38KB
2025-09-29 22:34:29
crossplane-0.5.8-r3.apk
30.20KB
2024-10-25 23:30:10
crossplane-pyc-0.5.8-r3.apk
39.25KB
2024-10-25 23:30:10
crowdsec-1.7.4-r0.apk
40.92MB
2025-12-05 03:56:06
crowdsec-email-plugin-1.7.4-r0.apk
6.37MB
2025-12-05 03:56:06
crowdsec-file-plugin-1.7.4-r0.apk
6.32MB
2025-12-05 03:56:07
crowdsec-http-plugin-1.7.4-r0.apk
6.32MB
2025-12-05 03:56:07
crowdsec-openrc-1.7.4-r0.apk
1.80KB
2025-12-05 03:56:07
crowdsec-sentinel-plugin-1.7.4-r0.apk
6.32MB
2025-12-05 03:56:08
crowdsec-slack-plugin-1.7.4-r0.apk
6.36MB
2025-12-05 03:56:08
crowdsec-splunk-plugin-1.7.4-r0.apk
6.32MB
2025-12-05 03:56:08
crun-vm-0.3.0-r0.apk
1.26MB
2024-11-12 13:44:36
crun-vm-doc-0.3.0-r0.apk
12.89KB
2024-11-12 13:44:36
cscope-15.9-r1.apk
160.69KB
2024-10-25 23:30:14
cscope-doc-15.9-r1.apk
7.49KB
2024-10-25 23:30:14
csfml-2.5.2-r0.apk
102.18KB
2024-10-25 23:30:14
csfml-dev-2.5.2-r0.apk
77.20KB
2024-10-25 23:30:14
csfml-doc-2.5.2-r0.apk
204.01KB
2024-10-25 23:30:15
csmith-2.3.0-r2.apk
316.03KB
2024-10-25 23:30:15
csmith-doc-2.3.0-r2.apk
3.08KB
2024-10-25 23:30:15
csol-1.6.0-r0.apk
38.69KB
2024-10-25 23:30:15
csol-doc-1.6.0-r0.apk
3.85KB
2024-10-25 23:30:15
ctorrent-dnh-3.3.2-r2.apk
89.08KB
2024-10-25 23:30:15
cups-pdf-3.0.2-r0.apk
21.58KB
2025-07-05 00:11:51
curlftpfs-0.9.2-r3.apk
24.36KB
2024-10-25 23:30:15
curlftpfs-doc-0.9.2-r3.apk
6.12KB
2024-10-25 23:30:15
curtail-1.13.0-r0.apk
30.36KB
2025-07-05 23:40:06
curtail-lang-1.13.0-r0.apk
77.52KB
2025-07-05 23:40:06
cutechess-1.3.1-r0.apk
1.11MB
2024-10-25 23:30:15
cutechess-cli-1.3.1-r0.apk
360.76KB
2024-10-25 23:30:15
cutechess-cli-doc-1.3.1-r0.apk
6.58KB
2024-10-25 23:30:15
cutechess-doc-1.3.1-r0.apk
3.57KB
2024-10-25 23:30:15
cvise-2.11.0-r0.apk
5.93MB
2025-03-08 15:15:55
cvise-pyc-2.11.0-r0.apk
59.71KB
2025-03-08 15:15:55
cvs-fast-export-1.65-r0.apk
49.99KB
2024-10-25 23:30:15
cvs-fast-export-doc-1.65-r0.apk
17.45KB
2024-10-25 23:30:15
cvs-fast-export-tools-1.65-r0.apk
8.67KB
2024-10-25 23:30:15
cyrus-sasl-xoauth2-0.2-r1.apk
6.81KB
2024-10-25 23:30:15
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2.28KB
2024-10-25 23:30:15
cyrus-sasl-xoauth2-static-0.2-r1.apk
7.03KB
2024-10-25 23:30:15
cz-viator-hourglass-black-20210706-r0.apk
88.18KB
2024-10-25 23:30:15
daemontools-0.76-r3.apk
69.29KB
2024-10-25 23:30:15
daemontools-openrc-0.76-r3.apk
1.96KB
2024-10-25 23:30:15
daktilo-0.6.0-r0.apk
1.92MB
2024-10-25 23:30:15
daktilo-bash-completion-0.6.0-r0.apk
2.17KB
2024-10-25 23:30:15
daktilo-doc-0.6.0-r0.apk
8.67KB
2024-10-25 23:30:15
daktilo-fish-completion-0.6.0-r0.apk
1.93KB
2024-10-25 23:30:15
daktilo-zsh-completion-0.6.0-r0.apk
2.26KB
2024-10-25 23:30:15
dam-0_git20250728-r0.apk
15.26KB
2025-12-22 23:22:47
dam-doc-0_git20250728-r0.apk
2.29KB
2025-12-22 23:22:47
darkradiant-3.9.0-r0.apk
9.87MB
2025-09-29 22:34:30
darkradiant-doc-3.9.0-r0.apk
2.23MB
2025-09-29 22:34:30
darkradiant-lang-3.9.0-r0.apk
37.22KB
2025-09-29 22:34:30
dasht-2.4.0-r0.apk
14.23KB
2024-10-25 23:30:15
dasht-doc-2.4.0-r0.apk
11.41KB
2024-10-25 23:30:15
dasht-zsh-completion-2.4.0-r0.apk
2.09KB
2024-10-25 23:30:15
davmail-6.5.1-r0.apk
8.32MB
2025-11-14 23:17:19
dbmate-2.28.0-r1.apk
11.15MB
2025-12-05 00:37:31
dbmate-doc-2.28.0-r1.apk
2.26KB
2025-12-05 00:37:31
dbus-broker-37-r0.apk
87.31KB
2025-06-17 13:17:18
dbus-broker-doc-37-r0.apk
5.87KB
2025-06-17 13:17:18
dcnnt-0.10.0-r1.apk
27.78KB
2024-10-25 23:30:16
dcnnt-doc-0.10.0-r1.apk
6.59KB
2024-10-25 23:30:16
dcnnt-pyc-0.10.0-r1.apk
61.67KB
2024-10-25 23:30:16
ddcci-driver-linux-src-0.4.5-r2.apk
19.12KB
2025-03-19 15:12:11
ddgr-2.2-r0.apk
20.09KB
2024-10-25 23:30:16
ddgr-bash-completion-2.2-r0.apk
2.23KB
2024-10-25 23:30:16
ddgr-doc-2.2-r0.apk
11.55KB
2024-10-25 23:30:16
ddgr-fish-completion-2.2-r0.apk
2.30KB
2024-10-25 23:30:16
ddgr-zsh-completion-2.2-r0.apk
2.70KB
2024-10-25 23:30:16
ddserver-0_git20200930-r1.apk
12.42KB
2024-10-25 23:30:17
deadbeef-soxr-20180801-r0.apk
5.92KB
2024-10-25 23:30:17
debconf-1.5.82-r0.apk
69.40KB
2024-10-25 23:30:17
debconf-bash-completion-1.5.82-r0.apk
1.85KB
2024-10-25 23:30:17
debconf-doc-1.5.82-r0.apk
26.80KB
2024-10-25 23:30:17
debconf-lang-1.5.82-r0.apk
132.43KB
2024-10-25 23:30:17
debconf-utils-1.5.82-r0.apk
6.66KB
2024-10-25 23:30:17
decoder-0.7.0-r0.apk
2.23MB
2025-04-10 16:31:43
decoder-lang-0.7.0-r0.apk
58.97KB
2025-04-10 16:31:43
dehydrated-0.7.1-r0.apk
26.44KB
2024-10-25 23:30:17
desed-1.2.1-r1.apk
451.38KB
2024-10-25 23:30:17
desed-doc-1.2.1-r1.apk
2.88KB
2024-10-25 23:30:17
desync-0.9.6-r10.apk
7.81MB
2025-12-05 00:37:32
detox-2.0.0-r0.apk
116.92KB
2024-10-25 23:30:17
detox-doc-2.0.0-r0.apk
20.73KB
2024-10-25 23:30:17
deviced-0_git20250427-r0.apk
131.14KB
2025-07-05 23:04:08
deviced-dev-0_git20250427-r0.apk
26.08KB
2025-07-05 23:04:08
deviced-openrc-0_git20250427-r0.apk
1.70KB
2025-07-05 23:04:08
devil-1.8.0-r0.apk
288.63KB
2024-10-25 23:30:17
devil-dev-1.8.0-r0.apk
12.90KB
2024-10-25 23:30:17
devpod-0.6.15-r9.apk
24.54MB
2025-12-05 00:37:33
devpod-bash-completion-0.6.15-r9.apk
5.06KB
2025-12-05 00:37:33
devpod-fish-completion-0.6.15-r9.apk
4.31KB
2025-12-05 00:37:33
devpod-zsh-completion-0.6.15-r9.apk
4.04KB
2025-12-05 00:37:33
dewduct-0.2.3-r0.apk
1.25MB
2024-10-25 23:30:18
dfl-applications-0.3.0-r0.apk
70.55KB
2025-08-21 11:03:24
dfl-applications-dev-0.3.0-r0.apk
3.93KB
2025-08-21 11:03:24
dfl-ipc-0.3.0-r0.apk
49.86KB
2025-08-21 11:03:24
dfl-ipc-dev-0.3.0-r0.apk
4.78KB
2025-08-21 11:03:24
dfl-login1-0.3.0-r0.apk
36.01KB
2025-08-21 11:03:24
dfl-login1-dev-0.3.0-r0.apk
3.70KB
2025-08-21 11:03:24
dfl-sni-0.3.0-r0.apk
63.75KB
2025-08-21 11:03:24
dfl-sni-dev-0.3.0-r0.apk
4.95KB
2025-08-21 11:03:24
dfu-programmer-1.1.0-r0.apk
35.38KB
2024-10-25 23:30:18
dfu-programmer-bash-completion-1.1.0-r0.apk
2.79KB
2024-10-25 23:30:18
dfu-programmer-doc-1.1.0-r0.apk
5.76KB
2024-10-25 23:30:18
dhewm3-1.5.4-r0.apk
5.21MB
2025-02-14 17:28:33
diceware-1.0.1-r0.apk
334.02KB
2025-01-14 00:50:01
diceware-pyc-1.0.1-r0.apk
18.06KB
2025-01-14 00:50:01
disfetch-3.7-r0.apk
8.29KB
2024-10-25 23:30:19
diskus-0.8.0-r0.apk
361.02KB
2025-05-19 01:15:15
dislocker-0.7.3-r6.apk
12.28KB
2025-07-20 01:46:43
dislocker-doc-0.7.3-r6.apk
6.02KB
2025-07-20 01:46:43
dislocker-libs-0.7.3-r6.apk
46.67KB
2025-07-20 01:46:43
distroshelf-1.3.0-r0.apk
3.60MB
2025-12-27 17:41:50
distroshelf-lang-1.3.0-r0.apk
37.41KB
2025-12-27 17:41:50
dive-0.13.0-r7.apk
3.96MB
2025-12-05 00:37:33
dlib-19.24.4-r0.apk
807.91KB
2024-10-25 23:30:20
dlib-dev-19.24.4-r0.apk
2.43MB
2024-10-25 23:30:20
dmarc-cat-0.15.0-r10.apk
2.82MB
2025-12-05 00:37:33
dmarc-metrics-exporter-1.2.0-r0.apk
25.32KB
2025-07-16 00:01:50
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
1.91KB
2025-07-16 00:01:50
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
46.52KB
2025-07-16 00:01:50
dmenu-wl-0.1-r0.apk
18.82KB
2025-07-02 13:32:55
dmenu-wl-doc-0.1-r0.apk
4.08KB
2025-07-02 13:32:55
dnote-0.16.0-r0.apk
4.04MB
2025-12-10 19:54:02
dnote-bash-completion-0.16.0-r0.apk
2.09KB
2025-12-10 19:54:02
dnote-doc-0.16.0-r0.apk
6.30KB
2025-12-10 19:54:02
dnote-zsh-completion-0.16.0-r0.apk
2.02KB
2025-12-10 19:54:02
dnscontrol-4.29.0-r0.apk
17.35MB
2025-12-18 23:13:59
dnscontrol-doc-4.29.0-r0.apk
2.29KB
2025-12-18 23:13:59
dnscrypt-wrapper-0.4.2-r3.apk
30.71KB
2024-10-25 23:30:22
dnsenum-1.3.2-r0.apk
21.23KB
2024-10-25 23:30:22
dnsenum-doc-1.3.2-r0.apk
5.24KB
2024-10-25 23:30:22
dnsperf-2.14.0-r0.apk
72.65KB
2024-10-25 23:30:22
dnsperf-doc-2.14.0-r0.apk
34.94KB
2024-10-25 23:30:22
dnssec-tools-2.2.3-r13.apk
759.79KB
2025-06-30 10:03:59
dnssec-tools-dev-2.2.3-r13.apk
190.14KB
2025-06-30 10:03:59
dnssec-tools-doc-2.2.3-r13.apk
317.02KB
2025-06-30 10:03:59
doasedit-1.0.9-r0.apk
3.46KB
2025-10-31 14:29:17
docker-volume-local-persist-1.3.0-r38.apk
2.59MB
2025-12-05 00:37:34
docker-volume-local-persist-openrc-1.3.0-r38.apk
1.79KB
2025-12-05 00:37:34
dockerize-0.9.6-r2.apk
3.48MB
2025-12-05 00:37:34
dolt-1.79.1-r0.apk
40.47MB
2025-12-16 16:05:27
dooit-3.3.3-r0.apk
45.90KB
2025-10-27 10:29:49
dooit-extras-0.2.0-r0.apk
12.73KB
2024-12-07 22:29:37
dooit-extras-pyc-0.2.0-r0.apk
22.79KB
2024-12-07 22:29:37
dooit-pyc-3.3.3-r0.apk
103.15KB
2025-10-27 10:29:49
downloader-cli-0.3.4-r2.apk
1.97KB
2025-05-14 21:13:38
draw-0.1.1-r18.apk
1.04MB
2025-12-05 00:37:37
drogon-1.9.4-r2.apk
1.61MB
2025-05-22 09:53:34
drogon-dev-1.9.4-r2.apk
121.34KB
2025-05-22 09:53:34
drogon-doc-1.9.4-r2.apk
2.27KB
2025-05-22 09:53:34
droidcam-2.1.3-r3.apk
19.59KB
2025-09-29 22:34:31
droidcam-gui-2.1.3-r3.apk
29.65KB
2025-09-29 22:34:31
drone-cli-1.8.0-r15.apk
6.02MB
2025-12-05 00:37:37
dropwatch-1.5.5-r2.apk
16.24KB
2025-12-05 00:37:37
dropwatch-doc-1.5.5-r2.apk
3.70KB
2025-12-05 00:37:37
drumgizmo-0.9.20-r1.apk
418.47KB
2024-10-25 23:30:27
drupal7-7.103-r0.apk
3.28MB
2024-12-04 19:28:03
drupal7-doc-7.103-r0.apk
57.46KB
2024-12-04 19:28:03
dsp-2.0-r2.apk
167.58KB
2025-09-29 22:34:31
dsp-doc-2.0-r2.apk
10.13KB
2025-09-29 22:34:31
dstask-0.27-r4.apk
1.59MB
2025-12-05 00:37:37
dstask-bash-completion-0.27-r4.apk
2.11KB
2025-12-05 00:37:37
dstask-fish-completion-0.27-r4.apk
1.67KB
2025-12-05 00:37:37
dstask-import-0.27-r4.apk
3.59MB
2025-12-05 00:37:37
dstask-zsh-completion-0.27-r4.apk
1.66KB
2025-12-05 00:37:37
dublin-traceroute-0.4.2-r4.apk
47.07KB
2024-10-25 23:30:28
dublin-traceroute-contrib-0.4.2-r4.apk
2.86KB
2024-10-25 23:30:28
dublin-traceroute-dev-0.4.2-r4.apk
6.89KB
2024-10-25 23:30:28
dublin-traceroute-doc-0.4.2-r4.apk
2.30KB
2024-10-25 23:30:28
duc-1.4.5-r0.apk
94.09KB
2024-10-25 23:30:28
duc-doc-1.4.5-r0.apk
9.09KB
2024-10-25 23:30:28
duf-0.9.1-r2.apk
1.28MB
2025-12-05 00:37:37
duf-doc-0.9.1-r2.apk
4.72KB
2025-12-05 00:37:37
dulcepan-1.0.2-r0.apk
23.40KB
2024-10-25 23:30:28
dum-0.1.20-r1.apk
357.56KB
2025-08-29 11:51:41
dune-deps-1.3.0-r2.apk
952.08KB
2024-10-25 23:30:28
dustracing2d-2.1.1-r1.apk
5.14MB
2024-10-25 23:30:29
dvdbackup-0.4.2-r1.apk
16.46KB
2024-10-25 23:30:29
dvdbackup-doc-0.4.2-r1.apk
7.58KB
2024-10-25 23:30:29
dvdbackup-lang-0.4.2-r1.apk
1.43KB
2024-10-25 23:30:29
dwl-0.7-r0.apk
30.58KB
2024-10-25 23:30:29
dwl-doc-0.7-r0.apk
3.12KB
2024-10-25 23:30:29
e16-1.0.30-r0.apk
807.99KB
2024-11-05 15:22:02
e16-doc-1.0.30-r0.apk
27.25KB
2024-11-05 15:22:02
e16-lang-1.0.30-r0.apk
379.63KB
2024-11-05 15:22:02
eatmemory-0.1.6-r2.apk
4.34KB
2024-10-25 23:30:29
eclib-20250627-r2.apk
344.71KB
2025-11-26 10:27:05
eclib-dev-20250627-r2.apk
95.78KB
2025-11-26 10:27:05
eclib-doc-20250627-r2.apk
27.96KB
2025-11-26 10:27:05
eclib-libs-20250627-r2.apk
1.27MB
2025-11-26 10:27:05
eclib-static-20250627-r2.apk
20.36MB
2025-11-26 10:27:06
eclipse-ecj-4.37-r0.apk
2.54MB
2025-09-22 16:05:03
ecos-2.0.10-r0.apk
42.85KB
2024-10-25 23:30:29
ecos-dev-2.0.10-r0.apk
27.92KB
2024-10-25 23:30:29
edit-1.2.1-r0.apk
257.13KB
2025-10-16 16:17:17
edit-doc-1.2.1-r0.apk
2.25KB
2025-10-16 16:17:17
edward-1.1.0-r0.apk
2.02MB
2024-10-25 23:30:29
edward-doc-1.1.0-r0.apk
5.27KB
2024-10-25 23:30:29
efl-1.28.1-r2.apk
34.19MB
2025-03-23 17:03:59
efl-dev-1.28.1-r2.apk
1.82MB
2025-03-23 17:04:00
efl-gdb-1.28.1-r2.apk
1.69KB
2025-03-23 17:04:00
eiwd-3.10-r0.apk
859.89KB
2025-10-07 19:32:04
eiwd-doc-3.10-r0.apk
20.51KB
2025-10-07 19:32:04
eiwd-openrc-3.10-r0.apk
1.88KB
2025-10-07 19:32:04
elastic-beats-9.2.0-r1.apk
1.26KB
2025-12-05 00:37:37
elementary-calculator-8.0.1-r0.apk
73.29KB
2025-09-02 05:29:54
elementary-calculator-lang-8.0.1-r0.apk
59.49KB
2025-09-02 05:29:54
elementary-camera-8.0.2-r0.apk
88.02KB
2025-09-02 05:25:40
elementary-camera-lang-8.0.2-r0.apk
35.17KB
2025-09-02 05:25:40
elementary-dock-8.0.2-r0.apk
92.69KB
2025-05-25 01:57:53
elementary-dock-lang-8.0.2-r0.apk
27.56KB
2025-05-25 01:57:53
elementary-feedback-8.1.0-r0.apk
48.21KB
2025-11-22 17:38:49
elementary-feedback-lang-8.1.0-r0.apk
48.47KB
2025-11-22 17:38:49
elementary-icon-theme-8.1.0-r0.apk
5.05MB
2025-05-13 09:37:54
elementary-music-8.0.0-r0.apk
76.46KB
2024-10-29 00:06:17
elementary-music-lang-8.0.0-r0.apk
47.49KB
2024-10-29 00:06:17
elementary-settings-daemon-8.3.0-r0.apk
85.33KB
2025-06-14 21:10:07
elementary-settings-daemon-lang-8.3.0-r0.apk
74.03KB
2025-06-14 21:10:07
elementary-settings-daemon-openrc-8.3.0-r0.apk
1.80KB
2025-06-14 21:10:07
elementary-sound-theme-1.1.0-r0.apk
83.07KB
2024-11-11 00:08:06
elementary-theme-8.1.0-r0.apk
1.50MB
2025-01-12 23:27:35
elementary-videos-8.0.2-r0.apk
119.57KB
2025-09-02 05:28:31
elementary-videos-lang-8.0.2-r0.apk
83.42KB
2025-09-02 05:28:31
elf_diff-0.7.1-r3.apk
105.94KB
2025-07-16 00:01:51
elf_diff-pyc-0.7.1-r3.apk
106.35KB
2025-07-16 00:01:51
emacs-ace-window-0.10.0_git20220911-r0.apk
22.78KB
2024-10-25 23:30:33
emacs-avy-0.5.0_git20230420-r0.apk
43.44KB
2024-10-25 23:30:33
emacs-avy-embark-collect-1.1-r0.apk
3.83KB
2025-04-23 08:43:11
emacs-centaur-tabs-3.2_git20230601-r0.apk
55.07KB
2024-10-25 23:30:33
emacs-closql-1.2.1_git20240712-r0.apk
14.37KB
2024-10-25 23:30:33
emacs-company-1.0.2-r0.apk
159.39KB
2025-12-12 12:07:21
emacs-company-wubi-0_git20161031-r0.apk
1.10MB
2025-12-12 12:07:21
emacs-consult-1.4_git20240405-r0.apk
137.75KB
2024-10-25 23:30:33
emacs-derl-0_git20231004-r1.apk
23.44KB
2025-07-29 07:36:15
emacs-elfeed-3.4.2-r0.apk
90.56KB
2025-04-23 08:10:53
emacs-emacsql-3.1.1_git20240714-r0.apk
22.60KB
2024-10-25 23:30:33
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
6.06KB
2024-10-25 23:30:33
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
5.85KB
2024-10-25 23:30:33
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
17.66KB
2024-10-25 23:30:33
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
4.29KB
2024-10-25 23:30:33
emacs-embark-1.1-r0.apk
110.90KB
2025-04-23 08:43:11
emacs-embark-consult-1.1-r0.apk
10.41KB
2025-04-23 08:43:11
emacs-ement-0.16-r0.apk
290.71KB
2025-04-23 08:10:53
emacs-epkg-3.3.3_git20240713-r0.apk
36.82KB
2024-10-25 23:30:33
emacs-fossil-0_git20230504-r0.apk
14.63KB
2024-10-25 23:30:33
emacs-gnosis-0.3.2-r0.apk
62.14KB
2024-10-25 23:30:33
emacs-hackernews-0.7.0-r0.apk
15.53KB
2024-10-25 23:30:33
emacs-helm-3.9.7_git20240329-r0.apk
815.17KB
2024-10-25 23:30:33
emacs-hnreader-0_git20221116-r0.apk
9.77KB
2024-10-25 23:30:33
emacs-hydra-0.15.0_git20220910-r0.apk
45.96KB
2024-10-25 23:30:33
emacs-llama-1.0.2-r0.apk
12.60KB
2025-11-02 16:25:51
emacs-lsp-booster-0.2.1-r0.apk
509.29KB
2025-04-15 04:16:42
emacs-lsp-booster-doc-0.2.1-r0.apk
2.27KB
2025-04-15 04:16:42
emacs-persist-0.6_git20240114-r0.apk
6.63KB
2024-10-25 23:30:33
emacs-powerline-2.4_git20221110-r0.apk
28.92KB
2024-10-25 23:30:33
emacs-sqlite3-api-0.18-r0.apk
17.86KB
2024-10-25 23:30:33
emacs-svg-lib-0_git20240219-r0.apk
18.99KB
2024-10-25 23:30:33
emacs-taxy-0.10.2-r0.apk
11.46KB
2025-04-23 08:43:11
emacs-taxy-magit-section-0.14.3-r0.apk
17.69KB
2025-04-23 08:43:11
emacs-total-recall-0_git20250426-r0.apk
17.60KB
2025-04-30 11:17:58
emacs-total-recall-examples-0_git20250426-r0.apk
13.93KB
2025-04-30 11:17:58
emmylua-check-0.17.0-r0.apk
2.10MB
2025-12-22 02:13:52
emmylua-doc-cli-0.17.0-r0.apk
2.57MB
2025-12-22 02:13:52
emmylua-ls-0.17.0-r0.apk
3.02MB
2025-12-22 02:13:52
emmylua-ls-doc-0.17.0-r0.apk
36.73KB
2025-12-22 02:13:52
empede-0.2.3-r0.apk
1.86MB
2024-10-25 23:30:33
empede-doc-0.2.3-r0.apk
2.29KB
2024-10-25 23:30:33
empede-openrc-0.2.3-r0.apk
1.92KB
2024-10-25 23:30:33
emulationstation-2.11.2-r1.apk
1.28MB
2025-03-19 15:12:20
emulationstation-theme-gbz35-2.11.2-r1.apk
3.25MB
2025-03-19 15:12:21
endeavour-43.0-r2.apk
198.80KB
2024-12-08 23:41:38
endeavour-dev-43.0-r2.apk
45.58KB
2024-12-08 23:41:38
endeavour-doc-43.0-r2.apk
68.07KB
2024-12-08 23:41:38
endeavour-lang-43.0-r2.apk
203.14KB
2024-12-08 23:41:38
endlessh-1.1-r1.apk
9.32KB
2025-05-25 10:50:08
endlessh-doc-1.1-r1.apk
2.66KB
2025-05-25 10:50:08
enjoy-0.3-r1.apk
12.20KB
2024-10-25 23:30:34
enlighten-0.9.2-r1.apk
7.06KB
2024-10-25 23:30:34
enlighten-doc-0.9.2-r1.apk
3.51KB
2024-10-25 23:30:34
envconsul-0.13.4-r1.apk
5.05MB
2025-12-05 00:37:37
envsubst-0.1-r1.apk
4.68KB
2024-10-25 23:30:34
epic6-0_git20250821-r0.apk
405.63KB
2025-09-05 09:55:02
epic6-doc-0_git20250821-r0.apk
17.20KB
2025-09-05 09:55:02
epic6-script-0_git20250821-r0.apk
148.98KB
2025-09-05 09:55:02
epoch-1.3.0-r2.apk
56.47KB
2024-10-25 23:30:34
epr-2.4.15-r1.apk
15.69KB
2024-10-25 23:30:34
epr-pyc-2.4.15-r1.apk
24.42KB
2024-10-25 23:30:34
ergo-ldap-0.0.1-r22.apk
2.25MB
2025-12-05 00:37:38
ergo-ldap-doc-0.0.1-r22.apk
2.29KB
2025-12-05 00:37:38
errands-46.2.9-r0.apk
84.10KB
2025-10-23 11:13:40
errands-lang-46.2.9-r0.apk
73.06KB
2025-10-23 11:13:40
espeakup-0.90-r2.apk
12.45KB
2025-05-19 01:31:37
espeakup-openrc-0.90-r2.apk
1.77KB
2025-05-19 01:31:37
esptool-4.8.1-r0.apk
424.01KB
2024-10-25 23:30:34
esptool-pyc-4.8.1-r0.apk
549.33KB
2024-10-25 23:30:34
ettercap-0.8.3.1-r3.apk
566.65KB
2024-10-25 23:30:35
ettercap-doc-0.8.3.1-r3.apk
45.12KB
2024-10-25 23:30:35
evolution-on-3.24.4-r1.apk
11.15KB
2025-09-18 20:39:50
exabgp-4.2.24-r1.apk
384.82KB
2025-09-05 09:55:02
exabgp-doc-4.2.24-r1.apk
8.10KB
2025-09-05 09:55:02
exabgp-openrc-4.2.24-r1.apk
2.25KB
2025-09-05 09:55:02
exabgp-pyc-4.2.24-r1.apk
778.26KB
2025-09-05 09:55:02
exercism-3.2.0-r18.apk
4.19MB
2025-12-05 00:37:38
exercism-bash-completion-3.2.0-r18.apk
1.96KB
2025-12-05 00:37:38
exercism-fish-completion-3.2.0-r18.apk
2.37KB
2025-12-05 00:37:38
exercism-zsh-completion-3.2.0-r18.apk
2.11KB
2025-12-05 00:37:38
extrace-0.9-r0.apk
9.98KB
2024-10-25 23:30:35
extrace-doc-0.9-r0.apk
3.52KB
2024-10-25 23:30:35
extremetuxracer-0.8.3-r0.apk
39.54MB
2024-10-25 23:30:38
extremetuxracer-doc-0.8.3-r0.apk
6.70KB
2024-10-25 23:30:38
extundelete-0.2.4-r1.apk
39.90KB
2024-10-25 23:30:38
fabric-3.2.2-r1.apk
54.63KB
2024-10-25 23:30:38
fabric-pyc-3.2.2-r1.apk
60.15KB
2024-10-25 23:30:38
fakeroot-tcp-1.32.1-r1.apk
29.19KB
2024-10-25 23:30:38
fast-double-parser-0.8.1-r0.apk
25.32KB
2025-10-20 13:38:01
fastd-23-r0.apk
76.12KB
2025-01-27 23:51:35
fastd-doc-23-r0.apk
3.28KB
2025-01-27 23:51:35
fastd-openrc-23-r0.apk
1.70KB
2025-01-27 23:51:35
fatback-1.3-r2.apk
29.33KB
2024-10-25 23:30:38
fatback-doc-1.3-r2.apk
16.09KB
2024-10-25 23:30:38
fathom-1.3.1-r18.apk
4.96MB
2025-12-05 00:37:38
fatrace-0.18.0-r0.apk
10.27KB
2025-07-27 22:28:59
fatrace-doc-0.18.0-r0.apk
3.31KB
2025-07-27 22:28:59
fatresize-1.1.0-r1.apk
8.83KB
2024-10-25 23:30:38
fatresize-doc-1.1.0-r1.apk
15.20KB
2024-10-25 23:30:38
faultstat-0.01.11-r0.apk
13.15KB
2024-10-25 23:30:38
faultstat-bash-completion-0.01.11-r0.apk
2.30KB
2024-10-25 23:30:38
faultstat-doc-0.01.11-r0.apk
3.04KB
2024-10-25 23:30:38
faust-2.79.3-r0.apk
8.60MB
2025-06-07 20:02:23
faust-dev-2.79.3-r0.apk
1.37MB
2025-06-07 20:02:23
faust-doc-2.79.3-r0.apk
16.69MB
2025-06-07 20:02:24
faust-static-2.79.3-r0.apk
546.89KB
2025-06-07 20:02:24
faust-tools-2.79.3-r0.apk
120.36KB
2025-06-07 20:02:24
faust-vim-2.79.3-r0.apk
2.60KB
2025-06-07 20:02:24
fava-1.28-r0.apk
1.07MB
2024-10-25 23:30:40
fava-pyc-1.28-r0.apk
164.10KB
2024-10-25 23:30:40
fbcur-1.0.1-r1.apk
4.65KB
2024-10-25 23:30:40
fbcur-doc-1.0.1-r1.apk
2.17KB
2024-10-25 23:30:40
fbdebug-1.0.1-r0.apk
5.43KB
2025-12-19 23:47:40
fceux-2.6.6-r4.apk
2.96MB
2025-09-29 22:34:31
fceux-doc-2.6.6-r4.apk
104.67KB
2025-09-29 22:34:31
fdm-materials-5.2.2-r1.apk
59.67KB
2024-10-25 23:30:41
featherpad-1.6.2-r0.apk
779.72KB
2025-11-28 21:38:19
featherpad-lang-1.6.2-r0.apk
485.18KB
2025-11-28 21:38:19
femto-2.24.1-r0.apk
72.06KB
2025-09-06 11:34:49
femto-doc-2.24.1-r0.apk
47.53KB
2025-09-06 11:34:49
fff-2.2-r0.apk
10.74KB
2024-10-25 23:30:41
fff-doc-2.2-r0.apk
8.99KB
2024-10-25 23:30:41
fflas-ffpack-2.5.0-r3.apk
345.35KB
2024-10-25 23:30:41
ffmpeg4-4.4.5-r4.apk
48.10KB
2025-10-19 13:48:56
ffmpeg4-dev-4.4.5-r4.apk
280.77KB
2025-10-19 13:48:56
ffmpeg4-libavcodec-4.4.5-r4.apk
9.35MB
2025-10-19 13:48:56
ffmpeg4-libavdevice-4.4.5-r4.apk
52.40KB
2025-10-19 13:48:56
ffmpeg4-libavfilter-4.4.5-r4.apk
2.04MB
2025-10-19 13:48:56
ffmpeg4-libavformat-4.4.5-r4.apk
1.32MB
2025-10-19 13:48:57
ffmpeg4-libavutil-4.4.5-r4.apk
373.44KB
2025-10-19 13:48:57
ffmpeg4-libpostproc-4.4.5-r4.apk
64.69KB
2025-10-19 13:48:57
ffmpeg4-libswresample-4.4.5-r4.apk
52.70KB
2025-10-19 13:48:57
ffmpeg4-libswscale-4.4.5-r4.apk
184.80KB
2025-10-19 13:48:57
ffms2-5.0-r2.apk
72.78KB
2025-09-29 22:34:31
ffms2-dev-5.0-r2.apk
7.53KB
2025-09-29 22:34:31
ffms2-doc-5.0-r2.apk
30.23KB
2025-09-29 22:34:31
ffsend-0.2.76-r4.apk
1.80MB
2024-10-25 23:30:41
ffsend-bash-completion-0.2.76-r4.apk
3.62KB
2024-10-25 23:30:41
ffsend-fish-completion-0.2.76-r4.apk
3.58KB
2024-10-25 23:30:41
ffsend-zsh-completion-0.2.76-r4.apk
4.57KB
2024-10-25 23:30:41
fheroes2-1.1.13-r0.apk
1.80MB
2025-12-22 18:42:46
fheroes2-lang-1.1.13-r0.apk
1.82MB
2025-12-22 18:42:46
fildesh-0.2.0-r0.apk
69.66KB
2024-10-25 23:30:41
fildesh-doc-0.2.0-r0.apk
2.10KB
2024-10-25 23:30:41
fildesh-vim-0.2.0-r0.apk
3.55KB
2024-10-25 23:30:41
filebeat-9.2.0-r1.apk
37.65MB
2025-12-05 00:37:40
filebeat-openrc-9.2.0-r1.apk
2.02KB
2025-12-05 00:37:40
filebrowser-2.27.0-r16.apk
7.55MB
2025-12-05 00:37:40
filebrowser-openrc-2.27.0-r16.apk
1.82KB
2025-12-05 00:37:40
fileshelter-6.2.0-r4.apk
323.26KB
2025-09-29 22:34:31
fileshelter-openrc-6.2.0-r4.apk
1.70KB
2025-09-29 22:34:31
findtow-0.1-r0.apk
4.68KB
2024-10-25 23:30:42
finger-0.5-r0.apk
6.86KB
2024-10-25 23:30:42
finger-doc-0.5-r0.apk
3.80KB
2024-10-25 23:30:42
firehol-3.1.7-r2.apk
84.76KB
2024-10-25 23:30:42
firehol-doc-3.1.7-r2.apk
674.60KB
2024-10-25 23:30:42
firehol-openrc-3.1.7-r2.apk
2.06KB
2024-10-25 23:30:42
flann-1.9.2-r1.apk
1.77MB
2025-02-14 17:28:33
flann-dev-1.9.2-r1.apk
1.07MB
2025-02-14 17:28:33
flann-doc-1.9.2-r1.apk
2.53KB
2025-02-14 17:28:33
flare-engine-1.14-r1.apk
4.52MB
2025-11-05 13:39:41
flare-engine-doc-1.14-r1.apk
2.47KB
2025-11-05 13:39:41
flare-game-1.14-r0.apk
2.19KB
2024-10-25 23:30:42
flatseal-2.3.1-r0.apk
42.81KB
2025-06-19 18:30:22
flatseal-doc-2.3.1-r0.apk
8.46KB
2025-06-19 18:30:22
flatseal-lang-2.3.1-r0.apk
78.93KB
2025-06-19 18:30:22
flauschige-uhr-0.1-r1.apk
4.16KB
2024-10-25 23:30:43
flawz-0.3.0-r0.apk
1.33MB
2024-11-03 23:06:20
flawz-bash-completion-0.3.0-r0.apk
2.12KB
2024-11-03 23:06:20
flawz-doc-0.3.0-r0.apk
5.99KB
2024-11-03 23:06:20
flawz-fish-completion-0.3.0-r0.apk
1.91KB
2024-11-03 23:06:20
flawz-zsh-completion-0.3.0-r0.apk
2.23KB
2024-11-03 23:06:20
flightgear-2024.1.1-r0.apk
11.50MB
2025-03-05 02:19:50
flightgear-bash-completion-2024.1.1-r0.apk
5.50KB
2025-03-05 02:19:50
flightgear-dbg-2024.1.1-r0.apk
20.90MB
2025-03-05 02:19:52
flightgear-doc-2024.1.1-r0.apk
58.07KB
2025-03-05 02:19:52
flightgear-zsh-completion-2024.1.1-r0.apk
7.33KB
2025-03-05 02:19:52
flint-3.4.0-r0.apk
4.37MB
2025-11-26 10:27:06
flint-dev-3.4.0-r0.apk
315.89KB
2025-11-26 10:27:06
flowd-0.9.1-r11.apk
80.47KB
2025-06-30 10:03:59
flowd-dev-0.9.1-r11.apk
8.09KB
2025-06-30 10:03:59
flowd-doc-0.9.1-r11.apk
10.09KB
2025-06-30 10:03:59
flowd-openrc-0.9.1-r11.apk
1.90KB
2025-06-30 10:03:59
fnf-0.1-r0.apk
18.02KB
2024-10-25 23:30:47
fnf-doc-0.1-r0.apk
4.59KB
2024-10-25 23:30:47
foma-0.10.0_git20240712-r0.apk
350.08KB
2024-10-25 23:30:47
foma-dev-0.10.0_git20240712-r0.apk
8.47KB
2024-10-25 23:30:47
font-andika-six-6.210-r0.apk
1.47MB
2025-09-29 22:34:31
font-anonymous-pro-1.002-r2.apk
264.47KB
2024-10-25 23:30:47
font-aref-ruqaa-1.006-r0.apk
357.31KB
2025-04-15 04:16:43
font-babelstone-han-15.1.3-r0.apk
18.31MB
2024-10-25 23:30:49
font-cascadia-2407.24-r1.apk
1.26KB
2025-05-27 17:41:19
font-cascadia-code-2407.24-r1.apk
526.16KB
2025-05-27 17:41:19
font-cascadia-mono-2407.24-r1.apk
506.84KB
2025-05-27 17:41:19
font-chivo-0_git20221110-r0.apk
792.33KB
2024-10-25 23:30:49
font-chivo-mono-0_git20221110-r0.apk
625.86KB
2024-10-25 23:30:49
font-comic-neue-2.51-r0.apk
248.77KB
2024-10-25 23:30:49
font-comic-neue-doc-2.51-r0.apk
0.98MB
2024-10-25 23:30:49
font-commit-mono-1.143-r0.apk
251.28KB
2024-10-25 23:30:49
font-cousine-0_git20210228-r0.apk
109.99KB
2024-10-25 23:30:49
font-fantasque-sans-1.8.0-r0.apk
1.20KB
2024-10-25 23:30:49
font-fantasque-sans-doc-1.8.0-r0.apk
5.49KB
2024-10-25 23:30:49
font-fantasque-sans-largelineheight-1.8.0-r0.apk
316.15KB
2024-10-25 23:30:49
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
316.17KB
2024-10-25 23:30:49
font-fantasque-sans-noloopk-1.8.0-r0.apk
316.15KB
2024-10-25 23:30:49
font-fantasque-sans-normal-1.8.0-r0.apk
316.14KB
2024-10-25 23:30:49
font-fira-code-6.2-r0.apk
836.00KB
2024-10-25 23:30:49
font-fira-code-vf-6.2-r0.apk
145.06KB
2024-10-25 23:30:49
font-firamath-0.3.4-r0.apk
118.33KB
2024-10-25 23:30:50
font-fontawesome-4-4.7.0-r3.apk
205.01KB
2024-10-25 23:30:50
font-hanazono-20170904-r2.apk
28.95MB
2025-09-15 16:00:12
font-intel-one-mono-1.3.0-r0.apk
281.45KB
2024-10-25 23:30:53
font-katex-0.16.2-r0.apk
851.57KB
2024-10-25 23:30:53
font-material-icons-4.0.0-r0.apk
651.86KB
2024-10-25 23:30:53
font-monaspace-1.101-r0.apk
1.45KB
2024-10-25 23:30:53
font-monaspace-argon-1.101-r0.apk
2.21MB
2024-10-25 23:30:53
font-monaspace-krypton-1.101-r0.apk
2.07MB
2024-10-25 23:30:53
font-monaspace-neon-1.101-r0.apk
2.14MB
2024-10-25 23:30:54
font-monaspace-radon-1.101-r0.apk
2.74MB
2024-10-25 23:30:54
font-monaspace-xenon-1.101-r0.apk
2.34MB
2024-10-25 23:30:54
font-monocraft-4.0-r0.apk
676.76KB
2024-10-25 23:30:55
font-openmoji-16.0.0-r0.apk
1.37MB
2025-08-11 09:31:16
font-siji-20190218_git-r2.apk
24.50KB
2024-10-25 23:30:55
font-stix-otf-2.13-r0.apk
2.04MB
2024-10-25 23:30:55
font-stix-ttf-2.13-r0.apk
430.06KB
2024-10-25 23:30:55
font-tamzen-1.11.5-r1.apk
61.86KB
2024-10-25 23:30:55
font-terminus-ttf-4.49.3-r0.apk
537.86KB
2025-07-11 22:54:19
font-tinos-0_git20210228-r0.apk
198.69KB
2024-10-25 23:30:55
font-tiresias-0_git20200704-r0.apk
568.29KB
2024-10-25 23:30:55
font-tiresias-doc-0_git20200704-r0.apk
58.15KB
2024-10-25 23:30:55
foolsm-1.0.21-r0.apk
33.70KB
2024-10-25 23:30:55
foolsm-doc-1.0.21-r0.apk
3.85KB
2024-10-25 23:30:55
foolsm-openrc-1.0.21-r0.apk
1.55KB
2024-10-25 23:30:55
formiko-1.5.0-r0.apk
106.97KB
2025-08-15 12:06:38
formiko-doc-1.5.0-r0.apk
8.54KB
2025-08-15 12:06:38
formiko-pyc-1.5.0-r0.apk
61.56KB
2025-08-15 12:06:38
fplll-5.5.0-r0.apk
56.52KB
2024-11-17 06:06:31
fplll-dev-5.5.0-r0.apk
77.96KB
2024-11-17 06:06:31
fplll-libs-5.5.0-r0.apk
7.82MB
2024-11-17 06:06:31
fplll-static-5.5.0-r0.apk
8.62MB
2024-11-17 06:06:31
fplll-strategies-5.5.0-r0.apk
1.71MB
2024-11-17 06:06:32
fpp-0.9.5-r0.apk
29.27KB
2024-10-25 23:30:57
fpp-doc-0.9.5-r0.apk
5.59KB
2024-10-25 23:30:57
fq-0.16.0-r0.apk
4.53MB
2025-12-12 21:57:44
freealut-1.1.0-r1.apk
18.21KB
2024-10-25 23:30:57
freealut-dev-1.1.0-r1.apk
23.24KB
2024-10-25 23:30:57
freediameter-1.5.0-r1.apk
8.99KB
2024-10-25 23:30:57
freediameter-dev-1.5.0-r1.apk
53.79KB
2024-10-25 23:30:57
freediameter-extensions-1.5.0-r1.apk
386.98KB
2024-10-25 23:30:57
freediameter-libfdcore-1.5.0-r1.apk
168.45KB
2024-10-25 23:30:57
freediameter-libfdproto-1.5.0-r1.apk
89.12KB
2024-10-25 23:30:57
frescobaldi-3.3.0-r1.apk
3.48MB
2024-10-25 23:30:57
frescobaldi-doc-3.3.0-r1.apk
2.48KB
2024-10-25 23:30:57
frescobaldi-pyc-3.3.0-r1.apk
1.20MB
2024-10-25 23:30:57
freshrss-1.27.1-r0.apk
1.63MB
2025-11-03 06:39:50
freshrss-doc-1.27.1-r0.apk
846.27KB
2025-11-03 06:39:50
freshrss-lang-1.27.1-r0.apk
498.95KB
2025-11-03 06:39:50
freshrss-mysql-1.27.1-r0.apk
1.27KB
2025-11-03 06:39:50
freshrss-openrc-1.27.1-r0.apk
2.50KB
2025-11-03 06:39:50
freshrss-pgsql-1.27.1-r0.apk
1.28KB
2025-11-03 06:39:50
freshrss-sqlite-1.27.1-r0.apk
1.27KB
2025-11-03 06:39:50
freshrss-themes-1.27.1-r0.apk
1.50MB
2025-11-03 06:39:50
fulcrum-1.9.8-r1.apk
904.38KB
2024-10-25 23:30:57
fulcrum-admin-1.9.8-r1.apk
7.91KB
2024-10-25 23:30:57
fulcrum-doc-1.9.8-r1.apk
21.61KB
2024-10-25 23:30:57
fungw-1.2.2-r0.apk
15.11KB
2025-09-19 21:25:59
fungw-c-1.2.2-r0.apk
8.14KB
2025-09-19 21:25:59
fungw-cli-1.2.2-r0.apk
23.55KB
2025-09-19 21:25:59
fungw-dev-1.2.2-r0.apk
7.82KB
2025-09-19 21:25:59
fungw-doc-1.2.2-r0.apk
13.18KB
2025-09-19 21:25:59
fungw-duktape-1.2.2-r0.apk
17.91KB
2025-09-19 21:25:59
fungw-fawk-1.2.2-r0.apk
110.95KB
2025-09-19 21:25:59
fungw-lua-1.2.2-r0.apk
15.36KB
2025-09-19 21:25:59
fungw-mujs-1.2.2-r0.apk
17.22KB
2025-09-19 21:25:59
fungw-perl-1.2.2-r0.apk
50.94KB
2025-09-19 21:25:59
fungw-python3-1.2.2-r0.apk
26.96KB
2025-09-19 21:25:59
fungw-tcl-1.2.2-r0.apk
13.73KB
2025-09-19 21:25:59
fusee-nano-0.5.3-r1.apk
20.77KB
2024-10-25 23:30:57
fusee-nano-udev-0.5.3-r1.apk
1.70KB
2024-10-25 23:30:57
fuseiso-20070708-r0.apk
15.97KB
2025-04-15 04:16:44
fuseiso-doc-20070708-r0.apk
2.58KB
2025-04-15 04:16:44
fusesoc-2.3-r0.apk
46.30KB
2024-10-25 23:30:57
fusesoc-pyc-2.3-r0.apk
89.27KB
2024-10-25 23:30:57
fxfloorboard-katana-mk2-20240515-r1.apk
5.55MB
2024-10-25 23:30:58
fxfloorboard-katana-mk2-doc-20240515-r1.apk
1.09MB
2024-10-25 23:30:58
fxload-2008.10.13-r0.apk
8.31KB
2025-12-07 01:24:50
fynedesk-0.4.0-r2.apk
13.04MB
2025-12-05 00:37:41
gambit-4.9.5-r1.apk
12.28MB
2025-04-07 10:46:29
gambit-dev-4.9.5-r1.apk
6.93MB
2025-04-07 10:46:30
gambit-doc-4.9.5-r1.apk
4.33KB
2025-04-07 10:46:30
game-devices-udev-0.25-r0.apk
6.90KB
2025-10-23 08:04:12
gamemode-1.8.2-r0.apk
73.56KB
2025-02-06 01:28:48
gamemode-dev-1.8.2-r0.apk
5.10KB
2025-02-06 01:28:48
gamemode-doc-1.8.2-r0.apk
7.54KB
2025-02-06 01:28:48
gammastep-2.0.9-r3.apk
90.37KB
2024-10-25 23:31:00
gammastep-doc-2.0.9-r3.apk
14.06KB
2024-10-25 23:31:00
gammastep-lang-2.0.9-r3.apk
77.54KB
2024-10-25 23:31:00
gammastep-pyc-2.0.9-r3.apk
16.88KB
2024-10-25 23:31:00
gatling-0.16-r6.apk
156.49KB
2024-10-25 23:31:00
gatling-doc-0.16-r6.apk
9.07KB
2024-10-25 23:31:00
gatling-openrc-0.16-r6.apk
2.78KB
2024-10-25 23:31:00
gaupol-1.12-r2.apk
276.17KB
2024-10-25 23:31:00
gaupol-doc-1.12-r2.apk
2.37KB
2024-10-25 23:31:00
gaupol-lang-1.12-r2.apk
276.68KB
2024-10-25 23:31:00
gaupol-pyc-1.12-r2.apk
419.25KB
2024-10-25 23:31:00
gb-0.4.4-r36.apk
7.25MB
2025-12-05 00:37:41
gcli-2.9.1-r0.apk
131.96KB
2025-11-09 18:40:00
gcli-doc-2.9.1-r0.apk
37.69KB
2025-11-09 18:40:00
gearman-dev-1.1.22-r0.apk
1.08MB
2025-09-05 09:55:04
gearman-libs-1.1.22-r0.apk
78.51KB
2025-09-05 09:55:04
gearmand-1.1.22-r0.apk
172.87KB
2025-09-05 09:55:04
gearmand-doc-1.1.22-r0.apk
189.02KB
2025-09-05 09:55:04
gearmand-openrc-1.1.22-r0.apk
1.81KB
2025-09-05 09:55:04
gede-2.22.1-r0.apk
421.67KB
2025-11-02 22:48:24
geoclue-stumbler-1.1-r0.apk
44.31KB
2025-10-04 20:03:45
geodns-3.3.0-r18.apk
4.93MB
2025-12-05 00:37:42
geodns-logs-3.3.0-r18.apk
4.51MB
2025-12-05 00:37:42
geodns-openrc-3.3.0-r18.apk
1.78KB
2025-12-05 00:37:42
geomyidae-0.34-r2.apk
14.83KB
2024-10-25 23:31:01
geomyidae-doc-0.34-r2.apk
7.65KB
2024-10-25 23:31:01
geomyidae-openrc-0.34-r2.apk
1.99KB
2024-10-25 23:31:01
geonames-0.3.1-r2.apk
825.68KB
2024-10-25 23:31:01
geonames-dev-0.3.1-r2.apk
3.02KB
2024-10-25 23:31:01
geonames-doc-0.3.1-r2.apk
12.60KB
2024-10-25 23:31:01
geonames-lang-0.3.1-r2.apk
4.58MB
2024-10-25 23:31:02
getmail6-6.19.10-r0.apk
70.39KB
2025-08-19 14:29:28
getmail6-doc-6.19.10-r0.apk
138.50KB
2025-08-19 14:29:28
getmail6-pyc-6.19.10-r0.apk
103.26KB
2025-08-19 14:29:28
getssl-2.48-r0.apk
82.19KB
2024-10-25 23:31:02
getting-things-gnome-0.6-r4.apk
714.92KB
2024-12-08 23:41:38
getting-things-gnome-doc-0.6-r4.apk
497.40KB
2024-12-08 23:41:38
getting-things-gnome-lang-0.6-r4.apk
228.71KB
2024-12-08 23:41:38
gf2x-1.3.0-r1.apk
40.74KB
2024-10-25 23:31:02
gf2x-dev-1.3.0-r1.apk
63.09KB
2024-10-25 23:31:02
gfan-0.6.2-r1.apk
1.80MB
2024-10-25 23:31:02
ghc-filesystem-1.5.14-r0.apk
38.62KB
2024-10-25 23:31:02
ghq-1.8.0-r6.apk
3.82MB
2025-12-05 00:37:42
ghq-bash-completion-1.8.0-r6.apk
1.79KB
2025-12-05 00:37:42
ghq-doc-1.8.0-r6.apk
5.50KB
2025-12-05 00:37:42
ghq-fish-completion-1.8.0-r6.apk
2.55KB
2025-12-05 00:37:42
ghq-zsh-completion-1.8.0-r6.apk
2.49KB
2025-12-05 00:37:42
ginac-1.8.9-r0.apk
1.19MB
2025-05-25 10:50:08
ginac-dev-1.8.9-r0.apk
68.16KB
2025-05-25 10:50:08
ginac-doc-1.8.9-r0.apk
97.51KB
2025-05-25 10:50:08
git-bug-0.8.1-r6.apk
10.19MB
2025-12-05 00:37:43
git-bug-bash-completion-0.8.1-r6.apk
5.28KB
2025-12-05 00:37:43
git-bug-doc-0.8.1-r6.apk
16.86KB
2025-12-05 00:37:43
git-bug-fish-completion-0.8.1-r6.apk
4.34KB
2025-12-05 00:37:43
git-bug-zsh-completion-0.8.1-r6.apk
4.05KB
2025-12-05 00:37:43
git-extras-7.4.0-r0.apk
57.08KB
2025-07-22 23:31:35
git-extras-bash-completion-7.4.0-r0.apk
2.88KB
2025-07-22 23:31:35
git-extras-doc-7.4.0-r0.apk
65.19KB
2025-07-22 23:31:35
git-graph-0.6.0-r0.apk
0.95MB
2024-11-26 01:38:39
git-graph-doc-0.6.0-r0.apk
6.25KB
2024-11-26 01:38:39
git-quick-stats-2.8.0-r0.apk
15.24KB
2025-09-11 11:19:05
git-quick-stats-doc-2.8.0-r0.apk
3.47KB
2025-09-11 11:19:05
git-revise-0.7.0-r5.apk
24.30KB
2024-10-25 23:31:03
git-revise-doc-0.7.0-r5.apk
4.96KB
2024-10-25 23:31:03
git-revise-pyc-0.7.0-r5.apk
42.19KB
2024-10-25 23:31:03
git-secret-0.5.0-r0.apk
14.76KB
2024-10-25 23:31:03
git-secret-doc-0.5.0-r0.apk
17.07KB
2024-10-25 23:31:03
git2json-0.2.3-r8.apk
7.42KB
2024-10-25 23:31:03
git2json-pyc-0.2.3-r8.apk
5.69KB
2024-10-25 23:31:03
gkrellm-2.3.11-r0.apk
448.28KB
2025-01-09 00:36:54
gkrellm-dev-2.3.11-r0.apk
16.50KB
2025-01-09 00:36:54
gkrellm-doc-2.3.11-r0.apk
18.53KB
2025-01-09 00:36:54
gkrellm-lang-2.3.11-r0.apk
379.12KB
2025-01-09 00:36:54
gkrellm-server-2.3.11-r0.apk
52.60KB
2025-01-09 00:36:54
gl2ps-1.4.2-r0.apk
38.16KB
2025-10-13 00:31:52
gl2ps-dev-1.4.2-r0.apk
4.35KB
2025-10-13 00:31:52
gl2ps-doc-1.4.2-r0.apk
232.92KB
2025-10-13 00:31:52
gl2ps-static-1.4.2-r0.apk
44.06KB
2025-10-13 00:31:52
glfw-wayland-3.3.8-r3.apk
67.88KB
2024-10-25 23:31:03
glfw-wayland-dbg-3.3.8-r3.apk
194.11KB
2024-10-25 23:31:03
glfw-wayland-dev-3.3.8-r3.apk
45.62KB
2024-10-25 23:31:03
gliderlabs-sigil-0.11.0-r10.apk
3.31MB
2025-12-05 00:37:43
gliderlabs-sigil-doc-0.11.0-r10.apk
2.42KB
2025-12-05 00:37:43
glmark2-2023.01-r1.apk
7.98MB
2024-10-25 23:31:04
glmark2-doc-2023.01-r1.apk
12.81KB
2024-10-25 23:31:04
gloox-1.0.28-r0.apk
371.06KB
2024-10-25 23:31:04
gloox-dev-1.0.28-r0.apk
887.94KB
2024-10-25 23:31:04
glow-2.1.1-r5.apk
6.11MB
2025-12-05 00:37:43
glow-bash-completion-2.1.1-r5.apk
6.10KB
2025-12-05 00:37:43
glow-doc-2.1.1-r5.apk
3.21KB
2025-12-05 00:37:43
glow-fish-completion-2.1.1-r5.apk
4.33KB
2025-12-05 00:37:43
glow-zsh-completion-2.1.1-r5.apk
4.05KB
2025-12-05 00:37:43
glslviewer-3.2.4-r2.apk
1.85MB
2025-08-28 20:43:14
gmcapsule-0.9.8-r0.apk
36.18KB
2025-10-07 12:40:03
gmcapsule-openrc-0.9.8-r0.apk
1.98KB
2025-10-07 12:40:03
gmcapsule-pyc-0.9.8-r0.apk
60.78KB
2025-10-07 12:40:03
gmenuharness-0.1.4-r2.apk
39.16KB
2025-02-14 17:28:33
gmenuharness-dev-0.1.4-r2.apk
4.07KB
2025-02-14 17:28:33
gnome-common-3.18.0-r3.apk
11.54KB
2024-10-25 23:31:05
gnome-mahjongg-49.0.1-r0.apk
2.38MB
2025-10-19 00:03:18
gnome-mahjongg-doc-49.0.1-r0.apk
2.20KB
2025-10-19 00:03:18
gnome-mahjongg-lang-49.0.1-r0.apk
170.31KB
2025-10-19 00:03:18
gnome-metronome-1.3.0-r0.apk
566.76KB
2024-10-25 23:31:05
gnome-metronome-lang-1.3.0-r0.apk
24.69KB
2024-10-25 23:31:05
gnome-user-share-48.2-r0.apk
366.09KB
2025-11-23 12:56:58
gnome-user-share-lang-48.2-r0.apk
67.91KB
2025-11-23 12:56:58
gnome-user-share-systemd-48.2-r0.apk
1.73KB
2025-11-23 12:56:58
gnu-apl-1.9-r0.apk
1.31MB
2024-10-25 23:31:05
gnu-apl-dev-1.9-r0.apk
616.97KB
2024-10-25 23:31:05
gnu-apl-doc-1.9-r0.apk
1.56MB
2024-10-25 23:31:05
go-away-0.7.0-r1.apk
8.16MB
2025-09-05 09:55:06
go-away-openrc-0.7.0-r1.apk
2.26KB
2025-09-05 09:55:06
go-jsonnet-0.21.0-r5.apk
6.82MB
2025-12-05 00:37:43
go-mtpfs-1.0.0-r32.apk
1.22MB
2025-12-05 00:37:44
go-passbolt-cli-0.3.2-r8.apk
6.27MB
2025-12-05 00:37:44
go-tools-0.40.0-r0.apk
46.71MB
2025-12-12 17:18:49
gobuster-3.8.0-r3.apk
3.74MB
2025-12-05 00:37:46
godini-1.0.0-r5.apk
1.52MB
2025-12-05 00:37:46
godini-doc-1.0.0-r5.apk
14.78KB
2025-12-05 00:37:46
gomp-1.0.0-r17.apk
3.69MB
2025-12-05 00:37:47
goomwwm-1.0.0-r5.apk
50.55KB
2024-10-25 23:31:06
goreman-0.3.15-r18.apk
2.52MB
2025-12-05 00:37:47
goshs-1.1.3-r0.apk
6.41MB
2025-12-18 23:15:29
goshs-doc-1.1.3-r0.apk
2.26KB
2025-12-18 23:15:29
gotify-cli-2.3.2-r10.apk
4.34MB
2025-12-05 00:37:47
goxel-0.15.1-r0.apk
1.88MB
2024-10-25 23:31:08
gprbuild-25.0.0-r0.apk
13.40MB
2025-10-29 04:54:56
gpscorrelate-2.3-r0.apk
50.23KB
2025-03-27 08:36:00
gpscorrelate-cli-2.3-r0.apk
25.78KB
2025-03-27 08:36:00
gpscorrelate-doc-2.3-r0.apk
284.96KB
2025-03-27 08:36:00
gpscorrelate-lang-2.3-r0.apk
17.39KB
2025-03-27 08:36:00
gr-satellites-5.5.0-r6.apk
525.73KB
2025-10-12 17:17:31
gr-satellites-dev-5.5.0-r6.apk
12.72KB
2025-10-12 17:17:31
gr-satellites-doc-5.5.0-r6.apk
4.52KB
2025-10-12 17:17:31
gradia-1.9.0-r0.apk
530.63KB
2025-09-05 09:55:09
gradia-dev-1.9.0-r0.apk
2.53KB
2025-09-05 09:55:09
gradia-lang-1.9.0-r0.apk
55.54KB
2025-09-05 09:55:09
greetd-mini-wl-greeter-0_git20230821-r0.apk
20.43KB
2024-10-25 23:31:09
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2.19KB
2024-10-25 23:31:09
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
3.28KB
2024-10-25 23:31:09
grip-4.2.4-r0.apk
383.56KB
2024-10-25 23:31:10
grip-doc-4.2.4-r0.apk
6.18KB
2024-10-25 23:31:10
grip-lang-4.2.4-r0.apk
144.42KB
2024-10-25 23:31:10
grpcui-1.5.1-r4.apk
8.82MB
2025-12-05 00:37:48
grpcurl-1.9.3-r8.apk
8.39MB
2025-12-05 00:37:48
gsettings-qt-1.1.0-r0.apk
31.08KB
2025-12-09 13:12:58
gsettings-qt-dev-1.1.0-r0.apk
3.55KB
2025-12-09 13:12:58
gsimplecal-2.5.2-r0.apk
17.00KB
2025-10-16 21:48:17
gsimplecal-doc-2.5.2-r0.apk
5.79KB
2025-10-16 21:48:17
gssdp-1.6.4-r1.apk
48.45KB
2025-08-09 03:43:54
gssdp-dev-1.6.4-r1.apk
15.52KB
2025-08-09 03:43:54
gst-audio-thumbnailer-1.0_alpha1-r2.apk
277.84KB
2025-12-20 22:25:55
gst-thumbnailers-1.0_alpha1-r2.apk
1.27KB
2025-12-20 22:25:55
gst-video-thumbnailer-1.0_alpha1-r2.apk
292.98KB
2025-12-20 22:25:55
gstreamermm-1.10.0-r6.apk
521.49KB
2025-02-14 17:28:33
gstreamermm-dev-1.10.0-r6.apk
309.74KB
2025-02-14 17:28:33
gtimelog-0.12_git20251114-r0.apk
219.25KB
2025-11-18 22:53:06
gtimelog-pyc-0.12_git20251114-r0.apk
102.32KB
2025-11-18 22:53:06
gtk-session-lock-0.2.0-r0.apk
38.80KB
2025-01-31 18:17:03
gtk-session-lock-dev-0.2.0-r0.apk
5.28KB
2025-01-31 18:17:03
gtkhash-1.5-r0.apk
88.07KB
2025-03-10 20:17:13
gtkhash-lang-1.5-r0.apk
45.67KB
2025-03-10 20:17:13
gtklock-4.0.0-r0.apk
20.45KB
2025-01-31 18:17:03
gtklock-doc-4.0.0-r0.apk
2.97KB
2025-01-31 18:17:03
gtkwave-3.3.120-r0.apk
2.62MB
2024-10-25 23:31:14
gtkwave-doc-3.3.120-r0.apk
27.12KB
2024-10-25 23:31:14
gtranslator-49.0-r0.apk
148.41KB
2025-09-15 10:46:32
gtranslator-doc-49.0-r0.apk
637.51KB
2025-09-15 10:46:32
gtranslator-lang-49.0-r0.apk
593.01KB
2025-09-15 10:46:32
gtypist-2.10.1-r0.apk
637.20KB
2025-10-12 23:59:58
gtypist-doc-2.10.1-r0.apk
103.88KB
2025-10-12 23:59:58
gtypist-lang-2.10.1-r0.apk
26.13KB
2025-10-12 23:59:58
guake-3.10.1-r0.apk
304.46KB
2025-11-24 20:08:08
guake-lang-3.10.1-r0.apk
194.27KB
2025-11-24 20:08:08
guake-pyc-3.10.1-r0.apk
186.16KB
2025-11-24 20:08:08
guestfs-tools-1.56.1-r0.apk
328.03KB
2025-07-23 01:02:11
guetzli-0_git20191025-r2.apk
216.58KB
2025-05-25 10:50:08
guetzli-dev-0_git20191025-r2.apk
2.66MB
2025-05-25 10:50:08
gufw-24.04-r3.apk
596.48KB
2024-11-20 00:01:22
gufw-doc-24.04-r3.apk
4.48KB
2024-11-20 00:01:22
gufw-lang-24.04-r3.apk
854.59KB
2024-11-20 00:01:22
gufw-pyc-24.04-r3.apk
64.57KB
2024-11-20 00:01:22
gupnp-1.6.9-r1.apk
93.42KB
2025-08-09 03:43:54
gupnp-av-0.14.4-r1.apk
83.88KB
2025-08-09 03:43:54
gupnp-av-dev-0.14.4-r1.apk
41.58KB
2025-08-09 03:43:54
gupnp-dev-1.6.9-r1.apk
50.40KB
2025-08-09 03:43:54
gupnp-dlna-0.12.0-r1.apk
70.53KB
2025-08-09 03:43:54
gupnp-dlna-dev-0.12.0-r1.apk
24.34KB
2025-08-09 03:43:54
gupnp-doc-1.6.9-r1.apk
3.80KB
2025-08-09 03:43:54
gx-0.14.3-r35.apk
4.77MB
2025-12-05 00:37:49
gx-doc-0.14.3-r35.apk
2.27KB
2025-12-05 00:37:49
gx-go-1.9.0-r37.apk
5.03MB
2025-12-05 00:37:49
gx-go-doc-1.9.0-r37.apk
2.27KB
2025-12-05 00:37:49
gyosu-0.2.0-r3.apk
1.93MB
2025-12-05 00:37:49
h4h5tools-2.2.5-r4.apk
109.00KB
2024-10-25 23:31:19
h4h5tools-dev-2.2.5-r4.apk
8.76KB
2024-10-25 23:31:19
h4h5tools-doc-2.2.5-r4.apk
2.73KB
2024-10-25 23:31:19
h4h5tools-static-2.2.5-r4.apk
105.58KB
2024-10-25 23:31:19
halp-0.2.0-r0.apk
0.98MB
2024-10-25 23:31:19
halp-bash-completion-0.2.0-r0.apk
2.18KB
2024-10-25 23:31:19
halp-doc-0.2.0-r0.apk
6.88KB
2024-10-25 23:31:19
halp-fish-completion-0.2.0-r0.apk
1.96KB
2024-10-25 23:31:19
halp-zsh-completion-0.2.0-r0.apk
2.41KB
2024-10-25 23:31:19
hamster-time-tracker-3.0.3-r2.apk
155.82KB
2024-10-25 23:31:19
hamster-time-tracker-bash-completion-3.0.3-r2.apk
1.97KB
2024-10-25 23:31:19
hamster-time-tracker-doc-3.0.3-r2.apk
116.20KB
2024-10-25 23:31:19
hamster-time-tracker-lang-3.0.3-r2.apk
205.70KB
2024-10-25 23:31:19
hamster-time-tracker-pyc-3.0.3-r2.apk
358.13KB
2024-10-25 23:31:19
handlebars-1.0.0-r1.apk
108.00KB
2024-10-25 23:31:19
handlebars-dev-1.0.0-r1.apk
32.39KB
2024-10-25 23:31:19
handlebars-utils-1.0.0-r1.apk
9.99KB
2024-10-25 23:31:19
haproxy-dataplaneapi2-2.9.18-r2.apk
10.65MB
2025-12-05 00:37:49
haproxy-dataplaneapi2-openrc-2.9.18-r2.apk
2.13KB
2025-12-05 00:37:49
hardinfo2-2.2.13-r0.apk
3.32MB
2025-09-29 22:34:31
hardinfo2-doc-2.2.13-r0.apk
3.00KB
2025-09-29 22:34:31
hardinfo2-lang-2.2.13-r0.apk
291.74KB
2025-09-29 22:34:31
hardinfo2-openrc-2.2.13-r0.apk
1.95KB
2025-09-29 22:34:31
harminv-1.4.2-r1.apk
7.88KB
2024-10-25 23:31:19
harminv-dev-1.4.2-r1.apk
3.13KB
2024-10-25 23:31:19
harminv-doc-1.4.2-r1.apk
5.67KB
2024-10-25 23:31:19
harminv-libs-1.4.2-r1.apk
14.91KB
2024-10-25 23:31:19
hatch-1.16.1-r0.apk
114.76KB
2025-11-30 22:26:49
hatch-pyc-1.16.1-r0.apk
246.45KB
2025-11-30 22:26:49
hatop-0.8.2-r0.apk
17.95KB
2024-10-25 23:31:19
hatop-doc-0.8.2-r0.apk
2.99KB
2024-10-25 23:31:19
haxe-4.3.3-r1.apk
10.92MB
2025-03-22 16:34:42
haxe-doc-4.3.3-r1.apk
7.75KB
2025-03-22 16:34:42
hctl-0.2.7-r0.apk
1.41MB
2025-05-14 03:04:31
hddfancontrol-1.6.2-r0.apk
32.83KB
2024-10-25 23:31:19
hddfancontrol-openrc-1.6.2-r0.apk
2.19KB
2024-10-25 23:31:19
hddfancontrol-pyc-1.6.2-r0.apk
34.03KB
2024-10-25 23:31:19
hdf4-4.2.15-r2.apk
264.48KB
2024-10-25 23:31:19
hdf4-dev-4.2.15-r2.apk
100.83KB
2024-10-25 23:31:19
hdf4-doc-4.2.15-r2.apk
6.01KB
2024-10-25 23:31:19
hdf4-tools-4.2.15-r2.apk
199.34KB
2024-10-25 23:31:19
heh-0.6.2-r0.apk
489.07KB
2025-12-12 16:48:25
heh-doc-0.6.2-r0.apk
4.07KB
2025-12-12 16:48:25
heisenbridge-1.15.4-r0.apk
67.22KB
2025-10-06 08:07:38
heisenbridge-pyc-1.15.4-r0.apk
154.96KB
2025-10-06 08:07:38
helm-diff-3.13.1-r1.apk
22.02MB
2025-12-05 00:37:50
helm-ls-0.5.4-r1.apk
18.88MB
2025-12-05 00:37:51
helm-ls-doc-0.5.4-r1.apk
2.25KB
2025-12-05 00:37:51
helm-mapkubeapis-0.6.1-r1.apk
21.50MB
2025-12-05 00:37:52
helm-unittest-1.0.3-r1.apk
12.37MB
2025-12-05 00:37:53
helmfile-1.1.8-r1.apk
60.11MB
2025-12-05 00:37:56
helmfile-bash-completion-1.1.8-r1.apk
6.11KB
2025-12-05 00:37:56
helmfile-doc-1.1.8-r1.apk
2.26KB
2025-12-05 00:37:56
helmfile-fish-completion-1.1.8-r1.apk
4.33KB
2025-12-05 00:37:56
helmfile-zsh-completion-1.1.8-r1.apk
4.04KB
2025-12-05 00:37:56
herbe-1.0.0-r0.apk
5.81KB
2024-10-25 23:31:24
hexedit-1.6_git20230905-r0.apk
19.15KB
2024-10-25 23:31:24
hexedit-doc-1.6_git20230905-r0.apk
5.59KB
2024-10-25 23:31:24
hfst-3.16.2-r0.apk
1.44MB
2025-03-28 18:12:51
hfst-dev-3.16.2-r0.apk
208.99KB
2025-03-28 18:12:51
hfst-doc-3.16.2-r0.apk
69.66KB
2025-03-28 18:12:51
hfst-libs-3.16.2-r0.apk
2.04MB
2025-03-28 18:12:51
hiawatha-11.6-r1.apk
188.65KB
2025-05-27 14:08:23
hiawatha-doc-11.6-r1.apk
21.02KB
2025-05-27 14:08:23
hiawatha-letsencrypt-11.6-r1.apk
17.24KB
2025-05-27 14:08:23
hiawatha-openrc-11.6-r1.apk
1.69KB
2025-05-27 14:08:23
hidrd-0.2.0_git20190603-r1.apk
79.77KB
2024-10-25 23:31:24
hidrd-dev-0.2.0_git20190603-r1.apk
130.82KB
2024-10-25 23:31:24
highctidh-1.0.2024092800-r0.apk
380.04KB
2024-11-25 21:52:12
highctidh-dev-1.0.2024092800-r0.apk
413.23KB
2024-11-25 21:52:12
highfive-2.10.1-r0.apk
75.27KB
2025-01-15 04:47:59
hilbish-2.3.4-r10.apk
3.61MB
2025-12-05 00:37:56
hilbish-doc-2.3.4-r10.apk
24.93KB
2025-12-05 00:37:56
himitsu-secret-service-0.1_git20250705-r1.apk
15.78KB
2025-10-20 14:24:11
himitsu-secret-service-doc-0.1_git20250705-r1.apk
3.99KB
2025-10-20 14:24:11
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
28.60KB
2025-10-20 14:24:11
hiprompt-gtk-py-0.8.0-r1.apk
8.04KB
2025-09-17 13:03:13
hitide-0.15.0-r0.apk
2.29MB
2024-10-25 23:31:24
hitide-openrc-0.15.0-r0.apk
2.14KB
2024-10-25 23:31:24
homebank-5.9.5-r0.apk
2.06MB
2025-10-14 17:54:48
homebank-lang-5.9.5-r0.apk
942.45KB
2025-10-14 17:54:48
hping3-20051105-r4.apk
76.03KB
2024-10-25 23:31:25
hping3-doc-20051105-r4.apk
16.84KB
2024-10-25 23:31:25
hsetroot-1.0.5-r1.apk
10.51KB
2024-10-25 23:31:25
htmlcxx-0.87-r1.apk
64.34KB
2024-10-25 23:31:25
htmlcxx-dev-0.87-r1.apk
20.62KB
2024-10-25 23:31:25
httpie-oauth-1.0.2-r9.apk
3.37KB
2024-10-25 23:31:25
httpie-oauth-pyc-1.0.2-r9.apk
2.31KB
2024-10-25 23:31:25
httplz-2.2.0-r0.apk
1.38MB
2025-05-18 18:38:49
httplz-doc-2.2.0-r0.apk
2.25KB
2025-05-18 18:38:49
httpx-1.7.4-r0.apk
17.62MB
2025-12-10 01:38:37
httpx-doc-1.7.4-r0.apk
2.28KB
2025-12-10 01:38:37
httrack-3.49.2-r5.apk
753.39KB
2024-10-25 23:31:25
httrack-doc-3.49.2-r5.apk
527.96KB
2024-10-25 23:31:25
hub-2.14.2-r36.apk
2.94MB
2025-12-05 00:37:57
hub-bash-completion-2.14.2-r36.apk
4.59KB
2025-12-05 00:37:57
hub-doc-2.14.2-r36.apk
41.99KB
2025-12-05 00:37:57
hub-fish-completion-2.14.2-r36.apk
3.31KB
2025-12-05 00:37:57
hub-zsh-completion-2.14.2-r36.apk
3.71KB
2025-12-05 00:37:57
hubble-cli-0.13.6-r10.apk
18.21MB
2025-12-05 00:37:58
hubble-cli-bash-completion-0.13.6-r10.apk
5.07KB
2025-12-05 00:37:58
hubble-cli-fish-completion-0.13.6-r10.apk
4.33KB
2025-12-05 00:37:58
hubble-cli-zsh-completion-0.13.6-r10.apk
4.05KB
2025-12-05 00:37:58
hunspell-ca-es-3.0.7-r0.apk
731.25KB
2024-10-25 23:31:26
hunspell-fr-7.7-r0.apk
0.98MB
2025-12-17 22:24:06
hunspell-fr-doc-7.7-r0.apk
2.85KB
2025-12-17 22:24:06
hurl-7.1.0-r0.apk
2.55MB
2025-12-05 20:41:27
hurl-bash-completion-7.1.0-r0.apk
2.32KB
2025-12-05 20:41:27
hurl-doc-7.1.0-r0.apk
9.15KB
2025-12-05 20:41:27
hurl-fish-completion-7.1.0-r0.apk
3.63KB
2025-12-05 20:41:27
hurl-zsh-completion-7.1.0-r0.apk
4.11KB
2025-12-05 20:41:27
hw-probe-1.6.6-r2.apk
123.81KB
2025-06-30 10:03:59
hx-1.0.15-r0.apk
14.88KB
2024-10-25 23:31:26
hx-doc-1.0.15-r0.apk
4.79KB
2024-10-25 23:31:26
hyfetch-2.0.5-r0.apk
891.34KB
2025-11-21 19:33:56
hyfetch-bash-completion-2.0.5-r0.apk
3.33KB
2025-11-21 19:33:56
hyfetch-doc-2.0.5-r0.apk
19.60KB
2025-11-21 19:33:56
hyfetch-zsh-completion-2.0.5-r0.apk
2.57KB
2025-11-21 19:33:56
hyperrogue-13.1i-r0.apk
84.03MB
2025-12-19 05:52:57
hyperrogue-doc-13.1i-r0.apk
7.98KB
2025-12-19 05:52:57
hypnotix-3.5-r0.apk
110.06KB
2024-10-25 23:31:27
hypnotix-lang-3.5-r0.apk
72.41KB
2024-10-25 23:31:27
hypridle-0.1.7-r1.apk
148.70KB
2025-12-14 12:57:57
hypridle-doc-0.1.7-r1.apk
2.40KB
2025-12-14 12:57:57
hypridle-openrc-0.1.7-r1.apk
1.70KB
2025-12-14 12:57:57
hyprlock-0.9.2-r0.apk
451.82KB
2025-12-14 12:57:57
hyprpicker-0.4.5-r1.apk
131.38KB
2025-12-14 12:57:57
hyprpicker-doc-0.4.5-r1.apk
3.82KB
2025-12-14 12:57:57
hyprsunset-0.3.3-r1.apk
150.62KB
2025-12-14 12:57:57
hyprsunset-doc-0.3.3-r1.apk
2.43KB
2025-12-14 12:57:57
hyprsunset-openrc-0.3.3-r1.apk
1.76KB
2025-12-14 12:57:57
hyx-2024.02.29-r0.apk
17.79KB
2024-10-25 23:31:27
hyx-doc-2024.02.29-r0.apk
2.24KB
2024-10-25 23:31:27
i2util-4.2.1-r1.apk
16.73KB
2024-10-25 23:31:27
i2util-dev-4.2.1-r1.apk
44.81KB
2024-10-25 23:31:27
i2util-doc-4.2.1-r1.apk
4.72KB
2024-10-25 23:31:27
i3bar-river-1.1.0-r1.apk
525.09KB
2025-10-30 00:51:33
i3bar-river-openrc-1.1.0-r1.apk
1.71KB
2025-10-30 00:51:33
i3bar-river-systemd-1.1.0-r1.apk
1.88KB
2025-10-30 00:51:33
i3status-rust-0.34.0-r0.apk
5.28MB
2025-07-19 08:24:17
i3status-rust-doc-0.34.0-r0.apk
33.13KB
2025-07-19 08:24:17
ibus-typing-booster-2.29.0-r0.apk
12.67MB
2025-12-16 16:55:56
ibus-typing-booster-lang-2.29.0-r0.apk
316.87KB
2025-12-16 16:55:56
ibus-typing-booster-pyc-2.29.0-r0.apk
1.46MB
2025-12-16 16:55:56
icesprog-0_git20240108-r1.apk
9.21KB
2024-10-25 23:31:27
icesprog-udev-0_git20240108-r1.apk
1.90KB
2024-10-25 23:31:27
icestorm-0_git20240517-r0.apk
16.57MB
2024-10-25 23:31:27
icingaweb2-module-businessprocess-2.5.2-r0.apk
110.02KB
2025-08-13 18:54:55
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2.04MB
2025-08-13 18:54:55
icingaweb2-module-fileshipper-1.2.0-r3.apk
10.57KB
2025-07-02 09:39:25
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
230.58KB
2025-07-02 09:39:25
icingaweb2-module-generictts-2.1.0-r0.apk
6.30KB
2025-07-02 09:39:25
icingaweb2-module-generictts-doc-2.1.0-r0.apk
1.79KB
2025-07-02 09:39:25
icingaweb2-module-pnp-1.1.0-r1.apk
8.78KB
2024-10-25 23:31:27
icingaweb2-module-pnp-doc-1.1.0-r1.apk
1.53KB
2024-10-25 23:31:27
identities-0.2.2-r0.apk
17.02KB
2025-10-18 18:41:00
identme-0.6.0-r0.apk
48.06KB
2025-04-03 15:33:58
idesk-1-r1.apk
71.09KB
2024-10-25 23:31:27
ideviceinstaller-1.1.1-r4.apk
14.75KB
2024-10-31 00:45:49
ideviceinstaller-doc-1.1.1-r4.apk
2.45KB
2024-10-31 00:45:49
idevicerestore-1.0.0_git20250914-r0.apk
102.05KB
2025-10-06 20:36:31
idevicerestore-doc-1.0.0_git20250914-r0.apk
3.41KB
2025-10-06 20:36:31
ifuse-1.1.4-r5.apk
10.26KB
2024-10-31 00:45:49
ifuse-doc-1.1.4-r5.apk
2.34KB
2024-10-31 00:45:49
igrep-1.2.0-r0.apk
1.76MB
2024-10-25 23:31:28
igrep-doc-1.2.0-r0.apk
4.16KB
2024-10-25 23:31:28
ijq-1.2.0-r2.apk
1.49MB
2025-12-05 00:37:58
ijq-doc-1.2.0-r2.apk
3.59KB
2025-12-05 00:37:58
imapfilter-2.8.2-r0.apk
41.29KB
2024-10-25 23:31:28
imapfilter-doc-2.8.2-r0.apk
12.83KB
2024-10-25 23:31:28
imapgoose-0.4.1-r1.apk
2.52MB
2025-12-05 00:37:59
imapgoose-doc-0.4.1-r1.apk
5.70KB
2025-12-05 00:37:59
imapgoose-openrc-0.4.1-r1.apk
1.73KB
2025-12-05 00:37:59
imapgoose-systemd-0.4.1-r1.apk
1.78KB
2025-12-05 00:37:59
imediff-2.6-r1.apk
41.58KB
2024-10-25 23:31:28
imediff-doc-2.6-r1.apk
6.53KB
2024-10-25 23:31:28
imediff-pyc-2.6-r1.apk
43.66KB
2024-10-25 23:31:28
imgdiff-1.0.2-r31.apk
1.03MB
2025-12-05 00:37:59
imgdiff-doc-1.0.2-r31.apk
2.26KB
2025-12-05 00:37:59
imrsh-0_git20210320-r1.apk
9.00KB
2024-10-25 23:31:28
imrsh-dbg-0_git20210320-r1.apk
19.49KB
2024-10-25 23:31:28
infnoise-0.3.3-r0.apk
15.53KB
2025-05-26 11:58:51
infnoise-doc-0.3.3-r0.apk
4.14KB
2025-05-26 11:58:51
infnoise-openrc-0.3.3-r0.apk
1.73KB
2025-05-26 11:58:51
initify-0_git20171210-r1.apk
3.28KB
2024-10-25 23:31:28
interception-tools-0.6.8-r3.apk
105.71KB
2025-10-12 17:32:37
interception-tools-openrc-0.6.8-r3.apk
1.69KB
2025-10-12 17:32:37
invidtui-0.4.6-r10.apk
4.14MB
2025-12-05 00:37:59
ip2location-8.6.1-r0.apk
26.33KB
2024-10-25 23:31:28
ip2location-dev-8.6.1-r0.apk
12.64KB
2024-10-25 23:31:28
ip2location-doc-8.6.1-r0.apk
2.71KB
2024-10-25 23:31:28
ipp-usb-0.9.30-r5.apk
2.54MB
2025-12-05 00:37:59
ipp-usb-doc-0.9.30-r5.apk
8.82KB
2025-12-05 00:37:59
ipp-usb-openrc-0.9.30-r5.apk
1.73KB
2025-12-05 00:37:59
iprange-1.0.4-r1.apk
20.75KB
2024-10-25 23:31:28
iprange-doc-1.0.4-r1.apk
4.54KB
2024-10-25 23:31:28
irccd-4.0.3-r0.apk
277.38KB
2024-10-25 23:31:28
irccd-dev-4.0.3-r0.apk
9.62KB
2024-10-25 23:31:28
irccd-doc-4.0.3-r0.apk
80.38KB
2024-10-25 23:31:28
irccd-openrc-4.0.3-r0.apk
1.82KB
2024-10-25 23:31:28
ircd-hybrid-8.2.47-r0.apk
363.82KB
2025-04-05 06:49:31
ircd-hybrid-doc-8.2.47-r0.apk
3.61KB
2025-04-05 06:49:31
ircdog-0.5.4-r10.apk
2.50MB
2025-12-05 00:37:59
isoinfo-0_git20131217-r1.apk
6.40KB
2024-10-25 23:31:28
isomd5sum-1.2.5-r0.apk
20.68KB
2025-07-15 11:02:41
isomd5sum-doc-1.2.5-r0.apk
2.98KB
2025-07-15 11:02:41
it87-src-1_p20240609-r0.apk
29.69KB
2024-10-25 23:31:28
jack_capture-0.9.73_git20210429-r2.apk
30.58KB
2024-10-25 23:31:29
jackal-0.64.0-r20.apk
12.20MB
2025-12-05 00:38:00
jackal-openrc-0.64.0-r20.apk
1.83KB
2025-12-05 00:38:00
jalv-1.6.8-r1.apk
52.44KB
2024-10-25 23:31:30
jalv-doc-1.6.8-r1.apk
3.18KB
2024-10-25 23:31:30
jalv-gtk-1.6.8-r1.apk
34.91KB
2024-10-25 23:31:30
java-jtharness-6.0_p12-r0.apk
4.04MB
2024-10-25 23:31:30
java-jtharness-doc-6.0_p12-r0.apk
11.56KB
2024-10-25 23:31:30
java-jtharness-examples-6.0_p12-r0.apk
218.87KB
2024-10-25 23:31:30
jbigkit-2.1-r2.apk
67.03KB
2024-10-25 23:31:30
jbigkit-dev-2.1-r2.apk
30.81KB
2024-10-25 23:31:30
jbigkit-doc-2.1-r2.apk
7.33KB
2024-10-25 23:31:30
jdebp-redo-1.4-r1.apk
94.28KB
2024-10-25 23:31:30
jdebp-redo-doc-1.4-r1.apk
12.12KB
2024-10-25 23:31:30
jdupes-1.28.0-r0.apk
29.45KB
2024-10-25 23:31:30
jdupes-doc-1.28.0-r0.apk
8.99KB
2024-10-25 23:31:30
jedi-language-server-0.45.1-r0.apk
31.75KB
2025-04-29 01:57:15
jedi-language-server-pyc-0.45.1-r0.apk
49.74KB
2025-04-29 01:57:15
jfrog-cli-2.45.0-r17.apk
9.51MB
2025-12-05 00:38:00
jhead-3.08-r0.apk
34.00KB
2024-10-25 23:31:31
jhead-doc-3.08-r0.apk
7.90KB
2024-10-25 23:31:31
jotdown-0.7.0-r0.apk
270.04KB
2025-03-19 15:12:45
jreleaser-1.21.0-r0.apk
40.44MB
2025-10-31 19:31:21
jreleaser-doc-1.21.0-r0.apk
5.93KB
2025-10-31 19:31:21
jrsonnet-cli-0.4.2-r1.apk
684.07KB
2024-10-25 23:31:38
jsmn-1.1.0-r2.apk
4.72KB
2024-10-25 23:31:38
json2tsv-1.2-r0.apk
6.67KB
2024-10-25 23:31:38
json2tsv-doc-1.2-r0.apk
5.24KB
2024-10-25 23:31:38
json2tsv-jaq-1.2-r0.apk
1.91KB
2024-10-25 23:31:38
json2tsv-jaq-doc-1.2-r0.apk
2.33KB
2024-10-25 23:31:38
jsonnet-bundler-0.6.0-r10.apk
3.32MB
2025-12-05 00:38:01
jsonnet-language-server-0.16.0-r1.apk
4.58MB
2025-12-05 00:38:01
junit2html-31.0.2-r0.apk
16.73KB
2024-10-25 23:31:39
junit2html-pyc-31.0.2-r0.apk
23.62KB
2024-10-25 23:31:39
jwt-cli-6.2.0-r0.apk
0.97MB
2024-12-14 20:18:10
k3sup-0.13.6-r10.apk
2.75MB
2025-12-05 00:38:01
k3sup-bash-completion-0.13.6-r10.apk
5.02KB
2025-12-05 00:38:01
k3sup-fish-completion-0.13.6-r10.apk
4.27KB
2025-12-05 00:38:01
k3sup-zsh-completion-0.13.6-r10.apk
4.00KB
2025-12-05 00:38:01
kabmat-2.7.0-r0.apk
59.28KB
2024-10-25 23:31:39
kabmat-doc-2.7.0-r0.apk
3.54KB
2024-10-25 23:31:39
kanidm-1.8.5-r0.apk
1.27KB
2025-12-13 20:47:21
kanidm-bash-completion-1.8.5-r0.apk
26.54KB
2025-12-13 20:47:21
kanidm-clients-1.8.5-r0.apk
3.55MB
2025-12-13 20:47:21
kanidm-openrc-1.8.5-r0.apk
2.06KB
2025-12-13 20:47:21
kanidm-server-1.8.5-r0.apk
14.74MB
2025-12-13 20:47:22
kanidm-unixd-clients-1.8.5-r0.apk
8.23MB
2025-12-13 20:47:22
kanidm-zsh-completion-1.8.5-r0.apk
36.79KB
2025-12-13 20:47:22
kapow-0.7.1-r18.apk
3.67MB
2025-12-05 00:38:01
katana-1.2.2-r3.apk
14.19MB
2025-12-05 00:38:02
katana-doc-1.2.2-r3.apk
2.26KB
2025-12-05 00:38:02
katarakt-0.2-r1.apk
91.29KB
2025-01-29 20:50:57
kcbench-0.9.13-r0.apk
37.19KB
2025-10-23 23:58:23
kcbench-doc-0.9.13-r0.apk
20.29KB
2025-10-23 23:58:23
kdiskmark-3.2.0-r0.apk
175.22KB
2025-06-30 19:46:12
kdiskmark-lang-3.2.0-r0.apk
31.83KB
2025-06-30 19:46:12
keepsecret-1.0.0-r0.apk
143.05KB
2025-12-15 18:23:02
keepsecret-lang-1.0.0-r0.apk
27.00KB
2025-12-15 18:23:02
kerberoast-0.2.0-r2.apk
9.33KB
2025-05-29 14:59:16
kerberoast-pyc-0.2.0-r2.apk
15.05KB
2025-05-29 14:59:16
kew-3.6.4-r0.apk
594.14KB
2025-10-21 08:33:14
kew-doc-3.6.4-r0.apk
3.63KB
2025-10-21 08:33:14
keybase-client-6.2.8-r15.apk
18.30MB
2025-12-05 00:38:03
keydb-6.3.4-r0.apk
1.10MB
2024-10-25 23:31:47
keydb-benchmark-6.3.4-r0.apk
464.47KB
2024-10-25 23:31:47
keydb-cli-6.3.4-r0.apk
376.19KB
2024-10-25 23:31:47
keydb-openrc-6.3.4-r0.apk
2.62KB
2024-10-25 23:31:47
keystone-0.9.2-r6.apk
1.48MB
2024-10-25 23:31:47
keystone-dev-0.9.2-r6.apk
7.30KB
2024-10-25 23:31:47
keystone-python-0.9.2-r6.apk
1.79MB
2024-10-25 23:31:47
keystone-python-pyc-0.9.2-r6.apk
9.68KB
2024-10-25 23:31:47
kfc-0.1.4-r0.apk
57.54KB
2024-10-25 23:31:47
khinsider-2.0.7-r25.apk
3.56MB
2025-12-05 00:38:03
khronos-4.0.1-r0.apk
55.77KB
2024-10-25 23:31:48
khronos-lang-4.0.1-r0.apk
25.73KB
2024-10-25 23:31:48
kine-0.10.1-r18.apk
8.07MB
2025-12-05 00:38:03
kine-doc-0.10.1-r18.apk
5.15KB
2025-12-05 00:38:03
kirc-0.3.3-r0.apk
15.24KB
2025-02-22 13:09:43
kirc-doc-0.3.3-r0.apk
2.78KB
2025-02-22 13:09:43
kismet-0.202509.1-r0.apk
12.90MB
2025-10-09 07:12:23
kismet-linux-bluetooth-0.202509.1-r0.apk
50.08KB
2025-10-09 07:12:23
kismet-linux-wifi-0.202509.1-r0.apk
69.31KB
2025-10-09 07:12:23
kismet-logtools-0.202509.1-r0.apk
1.22MB
2025-10-09 07:12:23
kismet-nrf-51822-0.202509.1-r0.apk
48.23KB
2025-10-09 07:12:23
kismet-nxp-kw41z-0.202509.1-r0.apk
49.59KB
2025-10-09 07:12:23
kjv-0_git20221103-r0.apk
1.54MB
2024-10-25 23:31:49
klong-20221212-r0.apk
375.77KB
2025-06-07 18:17:03
kmscon-9.1.0-r1.apk
845.20KB
2025-10-30 11:23:56
kmscon-doc-9.1.0-r1.apk
8.40KB
2025-10-30 11:23:56
kmscon-systemd-9.1.0-r1.apk
2.70KB
2025-10-30 11:23:56
knative-client-1.19.6-r1.apk
23.60MB
2025-12-05 00:38:05
knative-client-bash-completion-1.19.6-r1.apk
10.15KB
2025-12-05 00:38:05
knative-client-fish-completion-1.19.6-r1.apk
4.31KB
2025-12-05 00:38:05
knative-client-zsh-completion-1.19.6-r1.apk
4.03KB
2025-12-05 00:38:05
knxd-0.14.61-r1.apk
424.90KB
2024-12-14 21:04:23
knxd-dev-0.14.61-r1.apk
23.54KB
2024-12-14 21:04:23
ko-0.17.1-r10.apk
10.56MB
2025-12-05 00:38:05
ko-bash-completion-0.17.1-r10.apk
5.04KB
2025-12-05 00:38:05
ko-fish-completion-0.17.1-r10.apk
4.29KB
2025-12-05 00:38:05
ko-zsh-completion-0.17.1-r10.apk
4.02KB
2025-12-05 00:38:05
kodaskanna-0.2.2-r0.apk
56.49KB
2025-01-20 10:16:52
kodaskanna-lang-0.2.2-r0.apk
23.20KB
2025-01-20 10:16:52
komikku-1.85.0-r0.apk
444.10KB
2025-08-22 11:07:31
komikku-lang-1.85.0-r0.apk
284.29KB
2025-08-22 11:07:31
komikku-pyc-1.85.0-r0.apk
797.61KB
2025-08-22 11:07:31
kompose-1.31.2-r15.apk
7.38MB
2025-12-05 00:38:05
kompose-bash-completion-1.31.2-r15.apk
5.59KB
2025-12-05 00:38:05
kompose-fish-completion-1.31.2-r15.apk
4.38KB
2025-12-05 00:38:05
kompose-zsh-completion-1.31.2-r15.apk
6.78KB
2025-12-05 00:38:05
kondo-0.8-r0.apk
763.71KB
2024-10-25 23:31:52
kondo-bash-completion-0.8-r0.apk
2.10KB
2024-10-25 23:31:52
kondo-fish-completion-0.8-r0.apk
2.10KB
2024-10-25 23:31:52
kondo-zsh-completion-0.8-r0.apk
2.46KB
2024-10-25 23:31:52
kontainer-1.0.1-r0.apk
195.48KB
2025-07-19 11:25:19
kopia-0.21.1-r4.apk
16.24MB
2025-12-05 00:38:06
kopia-bash-completion-0.21.1-r4.apk
1.77KB
2025-12-05 00:38:06
kopia-zsh-completion-0.21.1-r4.apk
1.79KB
2025-12-05 00:38:06
kotlin-language-server-1.3.13-r0.apk
83.26MB
2025-03-28 18:12:56
kronosnet-1.32-r0.apk
73.38KB
2025-12-27 11:48:10
kronosnet-dev-1.32-r0.apk
2.62MB
2025-12-27 11:48:10
kronosnet-doc-1.32-r0.apk
108.04KB
2025-12-27 11:48:10
kube-no-trouble-0.7.3-r10.apk
13.82MB
2025-12-05 00:38:07
kubeconform-0.7.0-r3.apk
3.71MB
2025-12-05 00:38:07
kubectl-krew-0.4.5-r7.apk
4.71MB
2025-12-05 00:38:07
kubectl-oidc_login-1.34.2-r1.apk
5.68MB
2025-12-05 00:38:08
kubepug-1.7.1-r15.apk
16.71MB
2025-12-05 00:38:09
kubepug-bash-completion-1.7.1-r15.apk
5.13KB
2025-12-05 00:38:09
kubepug-fish-completion-1.7.1-r15.apk
4.34KB
2025-12-05 00:38:09
kubepug-zsh-completion-1.7.1-r15.apk
4.05KB
2025-12-05 00:38:09
kubeseal-0.32.1-r2.apk
11.75MB
2025-12-05 00:38:09
kubeseal-doc-0.32.1-r2.apk
5.53KB
2025-12-05 00:38:09
kubesplit-0.3.3-r1.apk
12.67KB
2024-10-25 23:31:58
kubesplit-pyc-0.3.3-r1.apk
12.96KB
2024-10-25 23:31:58
lavacli-2.4-r0.apk
49.33KB
2025-06-14 21:10:07
lavacli-doc-2.4-r0.apk
34.16KB
2025-06-14 21:10:07
lavacli-pyc-2.4-r0.apk
88.17KB
2025-06-14 21:10:07
laze-0.1.38-r0.apk
1.17MB
2025-07-20 23:22:16
laze-bash-completion-0.1.38-r0.apk
3.15KB
2025-07-20 23:22:16
laze-doc-0.1.38-r0.apk
3.60KB
2025-07-20 23:22:16
laze-fish-completion-0.1.38-r0.apk
3.44KB
2025-07-20 23:22:16
laze-zsh-completion-0.1.38-r0.apk
3.68KB
2025-07-20 23:22:16
lbb-0.10.4-r1.apk
3.26MB
2025-12-05 00:38:09
lbb-doc-0.10.4-r1.apk
20.28KB
2025-12-05 00:38:09
lcalc-2.1.0-r0.apk
200.91KB
2025-05-03 11:30:58
lcalc-dev-2.1.0-r0.apk
51.36KB
2025-05-03 11:30:58
lcalc-doc-2.1.0-r0.apk
436.77KB
2025-05-03 11:30:58
lcalc-libs-2.1.0-r0.apk
242.80KB
2025-05-03 11:30:58
ldapdomaindump-0.10.0-r0.apk
18.24KB
2025-07-30 22:18:59
ldapdomaindump-pyc-0.10.0-r0.apk
30.36KB
2025-07-30 22:18:59
ledmon-1.0.0-r0.apk
83.03KB
2024-10-25 23:31:59
ledmon-doc-1.0.0-r0.apk
14.08KB
2024-10-25 23:31:59
lefthook-2.0.4-r1.apk
5.88MB
2025-12-05 00:38:10
lefthook-doc-2.0.4-r1.apk
2.25KB
2025-12-05 00:38:10
legume-1.4.2-r14.apk
1.55MB
2025-12-05 00:38:10
legume-doc-1.4.2-r14.apk
12.32KB
2025-12-05 00:38:10
leptosfmt-0.1.33-r0.apk
1.17MB
2025-03-25 17:22:04
leptosfmt-doc-0.1.33-r0.apk
6.04KB
2025-03-25 17:22:04
levmar-dev-2.6-r0.apk
48.14KB
2024-10-25 23:31:59
lfm-3.1-r4.apk
88.13KB
2024-10-25 23:31:59
lfm-doc-3.1-r4.apk
2.77KB
2024-10-25 23:31:59
lfm-pyc-3.1-r4.apk
133.72KB
2024-10-25 23:31:59
lgogdownloader-3.16-r1.apk
369.58KB
2025-03-25 17:17:15
lgogdownloader-doc-3.16-r1.apk
8.51KB
2025-03-25 17:17:15
libabigail-2.8-r0.apk
1.01MB
2025-11-02 21:52:33
libabigail-bash-completion-2.8-r0.apk
2.83KB
2025-11-02 21:52:33
libabigail-dev-2.8-r0.apk
1.54MB
2025-11-02 21:52:33
libabigail-doc-2.8-r0.apk
76.81KB
2025-11-02 21:52:33
libabigail-tools-2.8-r0.apk
123.89KB
2025-11-02 21:52:33
libantlr3c-3.4-r3.apk
53.29KB
2024-10-25 23:32:00
libantlr3c-dev-3.4-r3.apk
58.37KB
2024-10-25 23:32:00
libantlr4-4.13.2-r0.apk
481.85KB
2024-12-12 13:08:51
libantlr4-dev-4.13.2-r0.apk
927.18KB
2024-12-12 13:08:51
libaudec-0.3.4-r3.apk
28.84KB
2024-10-25 23:32:00
libaudec-dev-0.3.4-r3.apk
4.28KB
2024-10-25 23:32:00
libaudec-static-0.3.4-r3.apk
31.32KB
2024-10-25 23:32:00
libaudec-tools-0.3.4-r3.apk
28.97KB
2024-10-25 23:32:00
libb64-2.0.0.1-r0.apk
4.55KB
2024-10-25 23:32:00
libb64-dev-2.0.0.1-r0.apk
5.84KB
2024-10-25 23:32:00
libb64-doc-2.0.0.1-r0.apk
8.03KB
2024-10-25 23:32:00
libbamf-0.5.6-r1.apk
161.21KB
2024-10-25 23:32:00
libbamf-dev-0.5.6-r1.apk
6.42KB
2024-10-25 23:32:00
libbamf-doc-0.5.6-r1.apk
31.08KB
2024-10-25 23:32:00
libbloom-2.0-r0.apk
5.55KB
2024-10-25 23:32:00
libbloom-dev-2.0-r0.apk
3.48KB
2024-10-25 23:32:00
libbraiding-1.3.1-r0.apk
118.38KB
2024-11-17 06:06:32
libbraiding-dev-1.3.1-r0.apk
15.07KB
2024-11-17 06:06:32
libbsoncxx-3.8.0-r0.apk
47.06KB
2024-10-25 23:32:00
libbsoncxx-dev-3.8.0-r0.apk
38.68KB
2024-10-25 23:32:00
libcli-1.10.7-r0.apk
29.11KB
2024-10-25 23:32:00
libcork-0.15.0-r7.apk
37.46KB
2024-10-25 23:32:00
libcork-dev-0.15.0-r7.apk
29.98KB
2024-10-25 23:32:00
libcork-tools-0.15.0-r7.apk
4.52KB
2024-10-25 23:32:00
libcorkipset-1.1.1-r4.apk
14.26KB
2024-10-25 23:32:00
libcorkipset-dev-1.1.1-r4.apk
8.09KB
2024-10-25 23:32:00
libcorkipset-tools-1.1.1-r4.apk
11.98KB
2024-10-25 23:32:00
libcotp-3.1.1-r0.apk
8.65KB
2025-10-04 01:25:20
libcotp-dev-3.1.1-r0.apk
2.53KB
2025-10-04 01:25:20
libcpdf-2.8.1-r0.apk
2.59MB
2025-05-08 14:05:33
libcpdf-dev-2.8.1-r0.apk
16.75KB
2025-05-08 14:05:33
libcpdf-static-2.8.1-r0.apk
3.18MB
2025-05-08 14:05:33
libctl-4.5.1-r1.apk
95.54KB
2024-10-25 23:32:00
libctl-dev-4.5.1-r1.apk
38.56KB
2024-10-25 23:32:00
libctl-doc-4.5.1-r1.apk
3.01KB
2024-10-25 23:32:00
libcyaml-1.4.2-r0.apk
20.74KB
2024-10-25 23:32:00
libcyaml-dev-1.4.2-r0.apk
12.85KB
2024-10-25 23:32:00
libcyaml-doc-1.4.2-r0.apk
8.64KB
2024-10-25 23:32:00
libcyaml-static-1.4.2-r0.apk
24.22KB
2024-10-25 23:32:00
libdbusaccess-1.0.20-r1.apk
18.58KB
2025-08-09 03:43:56
libdbusaccess-dev-1.0.20-r1.apk
5.00KB
2025-08-09 03:43:56
libeantic-2.1.0-r2.apk
80.52KB
2025-11-26 10:27:06
libeantic-dev-2.1.0-r2.apk
17.50KB
2025-11-26 10:27:06
libecap-1.0.1-r1.apk
13.36KB
2024-10-25 23:32:00
libecap-dev-1.0.1-r1.apk
11.42KB
2024-10-25 23:32:00
libecap-static-1.0.1-r1.apk
16.68KB
2024-10-25 23:32:00
libemf2svg-1.1.0-r3.apk
168.01KB
2025-10-06 12:09:58
libemf2svg-utils-1.1.0-r3.apk
18.46KB
2025-10-06 12:09:58
liberasurecode-1.6.3-r1.apk
43.62KB
2024-10-25 23:32:00
liberasurecode-dev-1.6.3-r1.apk
18.27KB
2024-10-25 23:32:00
libetebase-0.5.8-r0.apk
817.19KB
2025-09-29 22:34:31
libetebase-dev-0.5.8-r0.apk
10.89KB
2025-09-29 22:34:31
libettercap-0.8.3.1-r3.apk
205.04KB
2024-10-25 23:32:00
libfishsound-1.0.0-r1.apk
10.00KB
2024-10-25 23:32:00
libfishsound-dev-1.0.0-r1.apk
59.17KB
2024-10-25 23:32:00
libfishsound-doc-1.0.0-r1.apk
75.32KB
2024-10-25 23:32:00
libfoma-0.10.0_git20240712-r0.apk
110.37KB
2024-10-25 23:32:00
libfort-0.4.2-r0.apk
32.47KB
2024-10-25 23:32:00
libfort-dev-0.4.2-r0.apk
17.43KB
2024-10-25 23:32:00
libgivaro-4.2.0-r2.apk
92.66KB
2024-10-25 23:32:00
libgivaro-dev-4.2.0-r2.apk
244.46KB
2024-10-25 23:32:00
libgivaro-static-4.2.0-r2.apk
104.72KB
2024-10-25 23:32:00
libglib-testing-0.1.1-r0.apk
13.11KB
2025-05-08 14:05:33
libglib-testing-dev-0.1.1-r0.apk
5.51KB
2025-05-08 14:05:33
libglib-testing-doc-0.1.1-r0.apk
25.01KB
2025-05-08 14:05:33
libgrapheme-2.0.2-r0.apk
25.83KB
2025-07-22 23:52:25
libgrapheme-dev-2.0.2-r0.apk
31.98KB
2025-07-22 23:52:25
libgrapheme-doc-2.0.2-r0.apk
20.65KB
2025-07-22 23:52:25
libguestfs-1.56.1-r0.apk
346.46KB
2025-07-23 01:02:11
libguestfs-dev-1.56.1-r0.apk
29.42KB
2025-07-23 01:02:11
libguestfs-doc-1.56.1-r0.apk
568.55KB
2025-07-23 01:02:11
libguestfs-static-1.56.1-r0.apk
464.00KB
2025-07-23 01:02:11
libhomfly-1.02_p6-r1.apk
21.03KB
2024-10-25 23:32:00
libhomfly-dev-1.02_p6-r1.apk
24.39KB
2024-10-25 23:32:00
libhwpwm-0.4.4-r0.apk
5.53KB
2024-10-25 23:32:00
libhwpwm-dev-0.4.4-r0.apk
5.67KB
2024-10-25 23:32:00
libhwpwm-doc-0.4.4-r0.apk
13.05KB
2024-10-25 23:32:00
libideviceactivation-1.1.1-r5.apk
17.46KB
2024-10-31 00:45:49
libideviceactivation-dev-1.1.1-r5.apk
3.31KB
2024-10-31 00:45:49
libideviceactivation-doc-1.1.1-r5.apk
2.18KB
2024-10-31 00:45:49
libigraph-1.0.0-r0.apk
2.06MB
2025-10-28 04:32:48
libigraph-dev-1.0.0-r0.apk
89.73KB
2025-10-28 04:32:48
libiio-0.25-r2.apk
55.53KB
2024-10-25 23:32:00
libiio-dev-0.25-r2.apk
13.35KB
2024-10-25 23:32:00
libiio-doc-0.25-r2.apk
17.86KB
2024-10-25 23:32:00
libiio-pyc-0.25-r2.apk
20.95KB
2024-10-25 23:32:00
libiio-tools-0.25-r2.apk
76.93KB
2024-10-25 23:32:01
libinfnoise-0.3.3-r0.apk
14.06KB
2025-05-26 11:58:51
libirecovery-1.3.0-r0.apk
30.99KB
2025-10-06 20:36:31
libirecovery-dev-1.3.0-r0.apk
4.16KB
2025-10-06 20:36:31
libirecovery-progs-1.3.0-r0.apk
8.63KB
2025-10-06 20:36:31
libiscsi-1.19.0-r2.apk
61.85KB
2024-10-25 23:32:01
libiscsi-dev-1.19.0-r2.apk
20.41KB
2024-10-25 23:32:01
libiscsi-doc-1.19.0-r2.apk
9.28KB
2024-10-25 23:32:01
libiscsi-static-1.19.0-r2.apk
73.61KB
2024-10-25 23:32:01
libiscsi-utils-1.19.0-r2.apk
88.09KB
2024-10-25 23:32:01
libjodycode-3.1.1-r0.apk
8.19KB
2024-10-25 23:32:01
libjodycode-dev-3.1.1-r0.apk
4.21KB
2024-10-25 23:32:01
libjodycode-doc-3.1.1-r0.apk
3.67KB
2024-10-25 23:32:01
liblastfm-qt-1.1.10_git20190823-r3.apk
165.18KB
2024-10-25 23:32:01
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
19.62KB
2024-10-25 23:32:01
libm4ri-20240729-r2.apk
129.11KB
2025-01-15 19:59:33
libm4ri-dev-20240729-r2.apk
31.51KB
2025-01-15 19:59:33
libm4ri-static-20240729-r2.apk
140.40KB
2025-01-15 19:59:33
libm4rie-20200125-r5.apk
194.99KB
2025-01-15 19:59:33
libm4rie-dev-20200125-r5.apk
24.40KB
2025-01-15 19:59:33
libm4rie-static-20200125-r5.apk
222.22KB
2025-01-15 19:59:33
libmdbx-0.11.8-r0.apk
724.48KB
2024-10-25 23:32:01
libmdbx-dbg-0.11.8-r0.apk
2.66MB
2024-10-25 23:32:01
libmdbx-dev-0.11.8-r0.apk
93.19KB
2024-10-25 23:32:01
libmdbx-doc-0.11.8-r0.apk
8.85KB
2024-10-25 23:32:01
libmdf-1.0.29-r0.apk
36.83KB
2024-10-25 23:32:01
libmdf-dev-1.0.29-r0.apk
13.82KB
2024-10-25 23:32:01
libmhash-0.9.9.9-r3.apk
93.00KB
2024-10-25 23:32:03
libmhash-dev-0.9.9.9-r3.apk
103.81KB
2024-10-25 23:32:03
libmhash-doc-0.9.9.9-r3.apk
8.15KB
2024-10-25 23:32:03
libmpfi-1.5.4-r2.apk
35.92KB
2024-10-25 23:32:03
libmpfi-dev-1.5.4-r2.apk
5.38KB
2024-10-25 23:32:03
libmpfi-doc-1.5.4-r2.apk
18.75KB
2024-10-25 23:32:03
libmpfi-static-1.5.4-r2.apk
50.51KB
2024-10-25 23:32:03
libmrss-0.19.2-r1.apk
19.68KB
2024-10-25 23:32:03
libmrss-dev-0.19.2-r1.apk
30.54KB
2024-10-25 23:32:03
libmustache-0.5.0-r1.apk
83.58KB
2024-10-25 23:32:03
libmygpo-qt-1.1.0-r2.apk
86.59KB
2024-10-25 23:32:03
libmygpo-qt-dev-1.1.0-r2.apk
11.86KB
2024-10-25 23:32:03
libmysofa-1.3.2-r0.apk
26.82KB
2025-07-16 00:01:51
libmysofa-dev-1.3.2-r0.apk
6.86KB
2025-07-16 00:01:51
libmysofa-tools-1.3.2-r0.apk
1.07MB
2025-07-16 00:01:51
libnest2d-0.4-r7.apk
1.22KB
2025-02-06 06:36:52
libnest2d-dev-0.4-r7.apk
69.87KB
2025-02-06 06:36:52
libnfcdef-1.0.1-r1.apk
13.53KB
2025-08-09 03:43:56
libnfcdef-dev-1.0.1-r1.apk
5.73KB
2025-08-09 03:43:56
libnih-1.0.3-r7.apk
112.20KB
2024-10-25 23:32:03
libnih-dev-1.0.3-r7.apk
112.18KB
2024-10-25 23:32:03
libnih-doc-1.0.3-r7.apk
2.71KB
2024-10-25 23:32:03
libntl-11.6.0-r0.apk
1.32MB
2025-11-08 09:20:20
libntl-dev-11.6.0-r0.apk
157.49KB
2025-11-08 09:20:20
libntl-doc-11.6.0-r0.apk
365.53KB
2025-11-08 09:20:20
libntl-static-11.6.0-r0.apk
1.78MB
2025-11-08 09:20:20
libnxml-0.18.3-r0.apk
19.62KB
2024-10-25 23:32:04
libnxml-dev-0.18.3-r0.apk
27.28KB
2024-10-25 23:32:04
libofx-0.10.9-r1.apk
62.87KB
2024-10-25 23:32:04
libofx-dev-0.10.9-r1.apk
19.59KB
2024-10-25 23:32:04
libofx-tools-0.10.9-r1.apk
105.62KB
2024-10-25 23:32:04
liboggz-1.1.1-r2.apk
95.22KB
2024-10-25 23:32:04
liboggz-dev-1.1.1-r2.apk
176.77KB
2024-10-25 23:32:04
liboggz-doc-1.1.1-r2.apk
134.23KB
2024-10-25 23:32:04
libqofono-0.124-r0.apk
1.22KB
2025-01-10 14:02:03
libqofono-dev-0.124-r0.apk
42.10KB
2025-01-10 14:02:03
libqofono-qt5-0.124-r0.apk
298.14KB
2025-01-10 14:02:03
libqofono-qt6-0.124-r0.apk
448.99KB
2025-01-10 14:02:03
libqtdbusmock-0.9.1-r2.apk
69.18KB
2025-02-14 17:28:33
libqtdbustest-0.4.0-r0.apk
31.87KB
2025-09-03 22:34:22
libre-4.1.0-r0.apk
303.85KB
2025-10-03 10:59:28
libre-dev-4.1.0-r0.apk
475.37KB
2025-10-03 10:59:28
libreoffice-voikko-5.0_git20200127-r0.apk
45.37KB
2024-10-25 23:32:04
libresprite-1.2-r0.apk
15.05MB
2025-04-15 04:17:12
libresprite-doc-1.2-r0.apk
14.72KB
2025-04-15 04:17:12
libretro-atari800-0_git20240924-r0.apk
317.97KB
2024-10-25 23:32:04
libretro-beetle-pce-fast-0_git20220205-r0.apk
455.08KB
2024-10-25 23:32:04
libretro-beetle-pcfx-0_git20220409-r0.apk
322.58KB
2024-10-25 23:32:04
libretro-beetle-saturn-0_git20220417-r0.apk
1.88MB
2024-10-25 23:32:04
libretro-beetle-supergrafx-0_git20220218-r0.apk
451.58KB
2024-10-25 23:32:04
libretro-blastem-0_git20210810-r0.apk
247.68KB
2024-10-25 23:32:04
libretro-bluemsx-0_git20240808-r0.apk
691.92KB
2024-10-25 23:32:04
libretro-cannonball-0_git20220309-r6.apk
255.61KB
2024-10-25 23:32:04
libretro-cap32-0_git20220419-r0.apk
300.13KB
2024-10-25 23:32:04
libretro-crocods-0_git20210314-r1.apk
291.71KB
2024-10-25 23:32:04
libretro-daphne-0_git20210108-r2.apk
752.94KB
2024-10-25 23:32:05
libretro-dinothawr-0_git20220401-r0.apk
128.80KB
2024-10-25 23:32:05
libretro-fbneo-0_git20220416-r0.apk
12.68MB
2024-10-25 23:32:05
libretro-freeintv-0_git20220319-r0.apk
35.89KB
2024-10-25 23:32:05
libretro-frodo-0_git20221221-r0.apk
173.74KB
2024-10-25 23:32:05
libretro-fuse-0_git20220417-r0.apk
903.34KB
2024-10-25 23:32:05
libretro-genesis-plus-gx-0_git20230503-r0.apk
1.20MB
2024-10-25 23:32:05
libretro-gme-0_git20240628-r0.apk
201.92KB
2024-10-25 23:32:05
libretro-gong-0_git20220319-r0.apk
8.56KB
2024-10-25 23:32:05
libretro-gw-0_git20220410-r0.apk
184.55KB
2024-10-25 23:32:05
libretro-mame2000-0_git20240701-r0.apk
3.86MB
2024-10-25 23:32:06
libretro-mame2003-0_git20240904-r0.apk
9.09MB
2024-10-25 23:32:06
libretro-mu-0_git20220317-r0.apk
188.13KB
2024-10-25 23:32:06
libretro-neocd-0_git20220325-r1.apk
530.43KB
2024-10-25 23:32:06
libretro-nxengine-0_git20220301-r0.apk
301.61KB
2024-10-25 23:32:06
libretro-openlara-0_git20210121-r0.apk
536.42KB
2024-10-25 23:32:06
libretro-opera-0_git20211214-r0.apk
175.14KB
2024-10-25 23:32:06
libretro-pcsx-rearmed-0_git20220409-r0.apk
523.17KB
2024-10-25 23:32:06
libretro-pocketcdg-0_git20220327-r0.apk
92.21KB
2024-10-25 23:32:06
libretro-scummvm-0_git20210325-r0.apk
20.01MB
2024-10-25 23:32:08
libretro-snes9x-0_git20240819-r0.apk
1.01MB
2024-10-25 23:32:08
libretro-theodore-3.1-r0.apk
873.02KB
2024-10-25 23:32:08
libretro-tyrquake-0_git20220409-r0.apk
395.88KB
2024-10-25 23:32:08
libretro-xrick-0_git20220331-r0.apk
115.94KB
2024-10-25 23:32:08
libsbsms-2.3.0-r0.apk
104.87KB
2024-10-25 23:32:08
libsbsms-dev-2.3.0-r0.apk
124.64KB
2024-10-25 23:32:08
libsds-2.0.0-r1.apk
10.01KB
2024-10-25 23:32:08
libsds-dev-2.0.0-r1.apk
3.78KB
2024-10-25 23:32:08
libsemanage-3.6-r1.apk
100.12KB
2024-10-25 23:32:08
libsemanage-dev-3.6-r1.apk
142.71KB
2024-10-25 23:32:08
libsemanage-doc-3.6-r1.apk
22.92KB
2024-10-25 23:32:08
libsemigroups-2.7.3-r1.apk
849.34KB
2024-12-14 21:04:23
libsemigroups-dev-2.7.3-r1.apk
334.50KB
2024-12-14 21:04:23
libsemigroups-static-2.7.3-r1.apk
1.68MB
2024-12-14 21:04:23
libserialport-0.1.1-r1.apk
20.41KB
2024-10-25 23:32:08
libserialport-dev-0.1.1-r1.apk
34.78KB
2024-10-25 23:32:08
libshadowsocks-libev-3.3.5-r4.apk
50.90KB
2024-10-25 23:32:08
libsigrok-0.5.2-r3.apk
523.10KB
2024-10-25 23:32:08
libsigrok-dev-0.5.2-r3.apk
30.67KB
2024-10-25 23:32:08
libsigrokdecode-0.5.3-r4.apk
336.47KB
2024-10-25 23:32:08
libsigrokdecode-dev-0.5.3-r4.apk
39.02KB
2024-10-25 23:32:08
libsimpleble-0.10.3-r0.apk
214.09KB
2025-07-11 05:17:01
libsimpleble-c-0.10.3-r0.apk
16.00KB
2025-07-11 05:17:01
libsimplebluez-0.10.3-r0.apk
152.28KB
2025-07-11 05:17:01
libsirocco-2.1.1-r0.apk
63.12KB
2025-08-31 20:16:52
libsirocco-dev-2.1.1-r0.apk
2.20KB
2025-08-31 20:16:52
libspatialindex-2.1.0-r1.apk
313.76KB
2025-11-10 07:36:51
libspatialindex-dev-2.1.0-r1.apk
21.42KB
2025-11-10 07:36:51
libstirshaken-0_git20240208-r4.apk
55.50KB
2025-04-28 22:56:21
libstirshaken-dev-0_git20240208-r4.apk
78.94KB
2025-04-28 22:56:21
libstirshaken-tools-0_git20240208-r4.apk
166.63KB
2025-04-28 22:56:21
libtatsu-1.0.5-r0.apk
16.10KB
2025-10-06 20:36:31
libtatsu-dev-1.0.5-r0.apk
21.52KB
2025-10-06 20:36:31
libtcmu-1.6.0-r6.apk
39.92KB
2024-10-25 23:32:09
libtcmu-dev-1.6.0-r6.apk
1.51KB
2024-10-25 23:32:09
libtins-4.5-r2.apk
318.41KB
2025-10-15 11:22:23
libtins-dev-4.5-r2.apk
137.81KB
2025-10-15 11:22:24
libtins-doc-4.5-r2.apk
2.33KB
2025-10-15 11:22:24
libtsm-4.1.0-r0.apk
28.31KB
2025-07-08 08:56:34
libtsm-dev-4.1.0-r0.apk
10.97KB
2025-07-08 08:56:34
libucl-0.9.0-r0.apk
55.91KB
2024-10-25 23:32:09
libucl-dev-0.9.0-r0.apk
82.81KB
2024-10-25 23:32:09
libucl-doc-0.9.0-r0.apk
8.86KB
2024-10-25 23:32:09
libuecc-7-r4.apk
9.81KB
2025-03-03 18:06:18
libuecc-dev-7-r4.apk
4.63KB
2025-03-03 18:06:18
libuninameslist-20230916-r0.apk
696.46KB
2024-10-25 23:32:09
libuninameslist-dev-20230916-r0.apk
3.47KB
2024-10-25 23:32:09
libuninameslist-doc-20230916-r0.apk
2.05KB
2024-10-25 23:32:09
libupstart-2.0.3-r5.apk
58.70KB
2024-10-25 23:32:09
libvalkey-0.2.1-r0.apk
62.60KB
2025-11-17 13:56:45
libvalkey-dev-0.2.1-r0.apk
327.21KB
2025-11-17 13:56:45
libvalkey-tls-0.2.1-r0.apk
6.71KB
2025-11-17 13:56:45
libvdpau-va-gl-0.4.2-r0.apk
58.61KB
2024-10-25 23:32:09
libvisio2svg-0.5.5-r3.apk
15.27KB
2024-10-25 23:32:09
libvisio2svg-dev-0.5.5-r3.apk
2.92KB
2024-10-25 23:32:09
libvisio2svg-utils-0.5.5-r3.apk
123.36KB
2024-10-25 23:32:09
libvmaf-3.0.0-r0.apk
336.10KB
2024-10-25 23:32:09
libvmaf-dev-3.0.0-r0.apk
189.71KB
2024-10-25 23:32:09
libvoikko-4.3.2-r1.apk
130.61KB
2024-10-25 23:32:12
libvoikko-dev-4.3.2-r1.apk
9.91KB
2024-10-25 23:32:12
libvoikko-doc-4.3.2-r1.apk
5.73KB
2024-10-25 23:32:12
libwasmtime-39.0.1-r0.apk
3.86MB
2025-12-08 22:56:40
libwasmtime-static-39.0.1-r0.apk
6.44MB
2025-12-08 22:56:40
libwbxml-0.11.8-r0.apk
78.39KB
2024-10-25 23:32:12
libwbxml-dev-0.11.8-r0.apk
8.96KB
2024-10-25 23:32:12
libwbxml-doc-0.11.8-r0.apk
28.27KB
2024-10-25 23:32:12
libwhich-1.2.0-r0.apk
4.55KB
2024-10-25 23:32:12
libwmiclient-1.3.16-r5.apk
1.45KB
2024-10-25 23:32:12
libwmiclient-dev-1.3.16-r5.apk
1.69KB
2024-10-25 23:32:12
libxml++-5.4.0-r0.apk
67.63KB
2025-02-13 09:47:13
libxml++-dev-5.4.0-r0.apk
29.37KB
2025-02-13 09:47:13
libxo-1.7.5-r0.apk
181.80KB
2025-01-13 00:45:50
libxo-dev-1.7.5-r0.apk
77.32KB
2025-01-13 00:45:50
libxo-doc-1.7.5-r0.apk
62.82KB
2025-01-13 00:45:50
libzrtpcpp-4.7.0-r0.apk
166.67KB
2025-01-04 23:55:31
libzrtpcpp-dev-4.7.0-r0.apk
37.86KB
2025-01-04 23:55:31
libzvbi-0.2.44-r0.apk
240.35KB
2025-03-19 15:13:03
libzvbi-dev-0.2.44-r0.apk
14.30KB
2025-03-19 15:13:03
libzvbi-static-0.2.44-r0.apk
291.11KB
2025-03-19 15:13:03
licenseheaders-0.8.8-r4.apk
17.89KB
2024-10-25 23:32:12
licenseheaders-pyc-0.8.8-r4.apk
18.41KB
2024-10-25 23:32:12
limnoria-20240828-r0.apk
1.08MB
2024-10-25 23:32:12
limnoria-doc-20240828-r0.apk
8.40KB
2024-10-25 23:32:12
limnoria-pyc-20240828-r0.apk
1.22MB
2024-10-25 23:32:12
linkchecker-10.6.0-r0.apk
181.10KB
2025-08-10 14:40:47
linkchecker-doc-10.6.0-r0.apk
39.07KB
2025-08-10 14:40:47
linkchecker-pyc-10.6.0-r0.apk
253.67KB
2025-08-10 14:40:47
linkquisition-1.6.1-r10.apk
12.47MB
2025-12-05 00:38:10
linphone-5.3.38-r0.apk
9.02MB
2024-10-25 23:32:14
linphone-dev-5.3.38-r0.apk
249.72KB
2024-10-25 23:32:14
linphone-libs-5.3.38-r0.apk
3.05MB
2024-10-25 23:32:14
linux-apfs-rw-src-0.3.8-r0.apk
197.06KB
2024-10-25 23:32:14
linux-gpib-4.3.7-r0.apk
62.91KB
2025-12-07 01:24:50
linux-gpib-dev-4.3.7-r0.apk
7.66KB
2025-12-07 01:24:50
linux-gpib-doc-4.3.7-r0.apk
326.37KB
2025-12-07 01:24:50
linux-gpib-udev-4.3.7-r0.apk
2.62KB
2025-12-07 01:24:50
linux-timemachine-1.3.2-r0.apk
5.06KB
2024-10-25 23:32:14
linuxkit-1.8.2-r1.apk
13.84MB
2025-12-05 00:38:11
linuxkit-doc-1.8.2-r1.apk
10.27KB
2025-12-05 00:38:11
linuxptp-4.4-r0.apk
1.22KB
2024-11-17 19:17:36
linuxptp-doc-4.4-r0.apk
38.44KB
2024-11-17 19:17:36
linuxptp-hwstamp_ctl-4.4-r0.apk
4.44KB
2024-11-17 19:17:36
linuxptp-nsm-4.4-r0.apk
32.66KB
2024-11-17 19:17:36
linuxptp-phc2sys-4.4-r0.apk
37.08KB
2024-11-17 19:17:36
linuxptp-phc_ctl-4.4-r0.apk
9.87KB
2024-11-17 19:17:36
linuxptp-pmc-4.4-r0.apk
35.85KB
2024-11-17 19:17:36
linuxptp-ptp4l-4.4-r0.apk
78.14KB
2024-11-17 19:17:36
linuxptp-timemaster-4.4-r0.apk
16.64KB
2024-11-17 19:17:36
linuxptp-ts2phc-4.4-r0.apk
36.77KB
2024-11-17 19:17:36
linuxptp-tz2alt-4.4-r0.apk
20.06KB
2024-11-17 19:17:36
liquibase-4.9.1-r0.apk
31.63MB
2024-10-25 23:32:17
liquibase-doc-4.9.1-r0.apk
56.89KB
2024-10-25 23:32:17
litehtml-0.9-r2.apk
341.94KB
2025-02-14 17:28:33
litehtml-dev-0.9-r2.apk
41.65KB
2025-02-14 17:28:33
litehtml-static-0.9-r2.apk
526.02KB
2025-02-14 17:28:33
litterbox-1.9-r2.apk
35.74KB
2025-09-13 01:22:48
litterbox-doc-1.9-r2.apk
7.21KB
2025-09-13 01:22:48
lizardfs-3.13.0-r17.apk
115.71KB
2025-06-19 12:30:10
lizardfs-bash-completion-3.13.0-r17.apk
1.88KB
2025-06-19 12:30:10
lizardfs-cgi-3.13.0-r17.apk
31.46KB
2025-06-19 12:30:10
lizardfs-cgiserv-3.13.0-r17.apk
7.36KB
2025-06-19 12:30:10
lizardfs-cgiserv-openrc-3.13.0-r17.apk
1.97KB
2025-06-19 12:30:10
lizardfs-chunkserver-3.13.0-r17.apk
344.32KB
2025-06-19 12:30:10
lizardfs-chunkserver-openrc-3.13.0-r17.apk
1.66KB
2025-06-19 12:30:10
lizardfs-client-3.13.0-r17.apk
1.20MB
2025-06-19 12:30:10
lizardfs-doc-3.13.0-r17.apk
11.45KB
2025-06-19 12:30:10
lizardfs-master-3.13.0-r17.apk
930.36KB
2025-06-19 12:30:10
lizardfs-master-openrc-3.13.0-r17.apk
1.64KB
2025-06-19 12:30:10
lizardfs-metalogger-3.13.0-r17.apk
142.06KB
2025-06-19 12:30:10
lizardfs-metalogger-openrc-3.13.0-r17.apk
1.65KB
2025-06-19 12:30:10
llmnrd-0.7-r1.apk
16.33KB
2024-10-25 23:32:18
llmnrd-doc-0.7-r1.apk
3.03KB
2024-10-25 23:32:18
llmnrd-openrc-0.7-r1.apk
1.89KB
2024-10-25 23:32:18
lockrun-1.1.3-r1.apk
5.23KB
2024-10-25 23:32:18
log4cpp-1.1.4-r1.apk
71.81KB
2024-10-25 23:32:18
log4cpp-dev-1.1.4-r1.apk
38.90KB
2024-10-25 23:32:18
log4cxx-1.1.0-r3.apk
550.76KB
2025-02-14 17:28:33
log4cxx-dev-1.1.0-r3.apk
135.20KB
2025-02-14 17:28:33
logc-0.5.0-r1.apk
8.54KB
2025-06-13 17:01:59
logc-argp-0.5.0-r1.apk
16.78KB
2025-06-13 17:01:59
logc-config-0.5.0-r1.apk
5.11KB
2025-06-13 17:01:59
logc-czmq-0.1.0-r0.apk
4.05KB
2025-07-17 08:57:51
logc-dev-0.5.0-r1.apk
8.59KB
2025-06-13 17:01:59
logc-libevent-0.1.0-r0.apk
3.39KB
2025-07-17 08:57:51
logc-libs-0.1.0-r0.apk
1.26KB
2025-07-17 08:57:51
logc-libs-dev-0.1.0-r0.apk
5.34KB
2025-07-17 08:57:51
logtop-0.7-r1.apk
14.97KB
2025-08-09 03:44:09
logtop-doc-0.7-r1.apk
2.85KB
2025-08-09 03:44:09
logtop-libs-0.7-r1.apk
16.18KB
2025-08-09 03:44:09
lol-html-1.1.1-r1.apk
490.77KB
2024-10-25 23:32:19
lol-html-dev-1.1.1-r1.apk
6.52KB
2024-10-25 23:32:19
lolcat-1.4-r0.apk
9.56KB
2024-10-25 23:32:19
lomiri-0.5.0-r4.apk
3.96MB
2025-12-18 17:16:53
lomiri-action-api-1.2.1-r0.apk
84.27KB
2025-10-25 23:19:29
lomiri-action-api-dev-1.2.1-r0.apk
4.96KB
2025-10-25 23:19:29
lomiri-api-0.2.3-r0.apk
33.22KB
2025-10-25 23:19:29
lomiri-api-dev-0.2.3-r0.apk
32.13KB
2025-10-25 23:19:29
lomiri-app-launch-0.1.12-r5.apk
344.82KB
2025-12-18 17:16:53
lomiri-app-launch-dev-0.1.12-r5.apk
20.08KB
2025-12-18 17:16:53
lomiri-calculator-app-4.1.0-r0.apk
381.90KB
2025-08-19 23:10:14
lomiri-calculator-app-lang-4.1.0-r0.apk
41.47KB
2025-08-19 23:10:14
lomiri-clock-app-4.1.1-r0.apk
229.85KB
2025-05-09 20:32:19
lomiri-clock-app-lang-4.1.1-r0.apk
456.00KB
2025-05-09 20:32:19
lomiri-content-hub-2.2.1-r0.apk
290.14KB
2025-12-09 13:12:58
lomiri-content-hub-dev-2.2.1-r0.apk
11.20KB
2025-12-09 13:12:58
lomiri-content-hub-doc-2.2.1-r0.apk
1.38MB
2025-12-09 13:12:58
lomiri-content-hub-lang-2.2.1-r0.apk
47.42KB
2025-12-09 13:12:58
lomiri-download-manager-0.3.0-r0.apk
631.91KB
2025-12-09 13:12:58
lomiri-download-manager-dev-0.3.0-r0.apk
16.90KB
2025-12-09 13:12:58
lomiri-download-manager-doc-0.3.0-r0.apk
3.40MB
2025-12-09 13:12:58
lomiri-download-manager-lang-0.3.0-r0.apk
30.12KB
2025-12-09 13:12:58
lomiri-filemanager-app-1.1.4-r0.apk
348.02KB
2025-08-19 23:10:14
lomiri-filemanager-app-lang-1.1.4-r0.apk
179.65KB
2025-08-19 23:10:14
lomiri-gallery-app-3.0.2-r2.apk
3.73MB
2025-08-19 11:57:44
lomiri-gallery-app-lang-3.0.2-r2.apk
106.25KB
2025-08-19 11:57:44
lomiri-history-service-0.6-r20.apk
363.25KB
2025-12-19 14:03:14
lomiri-history-service-dev-0.6-r20.apk
11.12KB
2025-12-19 14:03:14
lomiri-indicator-location-25.4.22-r1.apk
22.95KB
2025-11-17 14:54:40
lomiri-indicator-location-lang-25.4.22-r1.apk
27.26KB
2025-11-17 14:54:40
lomiri-indicator-location-systemd-25.4.22-r1.apk
1.78KB
2025-11-17 14:54:40
lomiri-indicator-network-1.1.2-r0.apk
622.69KB
2025-09-08 04:00:46
lomiri-indicator-network-dev-1.1.2-r0.apk
9.53KB
2025-09-08 04:00:46
lomiri-indicator-network-doc-1.1.2-r0.apk
2.06KB
2025-09-08 04:00:46
lomiri-indicator-network-lang-1.1.2-r0.apk
199.45KB
2025-09-08 04:00:46
lomiri-lang-0.5.0-r4.apk
269.30KB
2025-12-18 17:16:53
lomiri-libusermetrics-1.4.0-r0.apk
221.77KB
2025-12-09 13:12:58
lomiri-libusermetrics-dev-1.4.0-r0.apk
7.85KB
2025-12-09 13:12:58
lomiri-libusermetrics-doc-1.4.0-r0.apk
227.70KB
2025-12-09 13:12:58
lomiri-libusermetrics-lang-1.4.0-r0.apk
45.08KB
2025-12-09 13:12:58
lomiri-location-service-3.3.0-r5.apk
2.28MB
2025-11-17 14:54:40
lomiri-location-service-dev-3.3.0-r5.apk
29.85KB
2025-11-17 14:54:40
lomiri-location-service-doc-3.3.0-r5.apk
2.88KB
2025-11-17 14:54:40
lomiri-location-service-lang-3.3.0-r5.apk
25.79KB
2025-11-17 14:54:40
lomiri-location-service-systemd-3.3.0-r5.apk
2.14KB
2025-11-17 14:54:40
lomiri-notifications-1.3.1-r0.apk
100.33KB
2025-01-10 12:22:47
lomiri-schemas-0.1.9-r0.apk
10.41KB
2025-10-01 20:03:46
lomiri-settings-components-1.1.3-r0.apk
220.92KB
2025-10-25 23:19:29
lomiri-settings-components-lang-1.1.3-r0.apk
107.64KB
2025-10-25 23:19:29
lomiri-sounds-25.01-r0.apk
17.97MB
2025-08-19 23:10:15
lomiri-system-settings-1.3.2-r0.apk
1.07MB
2025-05-09 20:32:19
lomiri-system-settings-lang-1.3.2-r0.apk
846.08KB
2025-05-09 20:32:19
lomiri-telephony-service-0.6.2-r1.apk
1.04MB
2025-12-19 14:03:14
lomiri-telephony-service-lang-0.6.2-r1.apk
108.57KB
2025-12-19 14:03:14
lomiri-terminal-app-2.0.5-r0.apk
65.85KB
2025-05-09 20:32:19
lomiri-terminal-app-doc-2.0.5-r0.apk
2.67KB
2025-05-09 20:32:19
lomiri-terminal-app-lang-2.0.5-r0.apk
91.43KB
2025-05-09 20:32:19
lomiri-thumbnailer-3.1.0-r0.apk
227.17KB
2025-12-09 13:12:59
lomiri-thumbnailer-dev-3.1.0-r0.apk
5.10KB
2025-12-09 13:12:59
lomiri-thumbnailer-doc-3.1.0-r0.apk
123.62KB
2025-12-09 13:12:59
lomiri-trust-store-2.0.2-r14.apk
1.01MB
2025-12-18 17:16:53
lomiri-trust-store-dev-2.0.2-r14.apk
9.13KB
2025-12-18 17:16:53
lomiri-trust-store-lang-2.0.2-r14.apk
27.72KB
2025-12-18 17:16:53
lomiri-ui-extras-0.8.0-r0.apk
266.67KB
2025-12-09 13:12:59
lomiri-ui-extras-lang-0.8.0-r0.apk
52.24KB
2025-12-09 13:12:59
lomiri-ui-toolkit-1.3.5110-r5.apk
1.34MB
2025-12-18 17:16:53
lomiri-ui-toolkit-dev-1.3.5110-r5.apk
167.77KB
2025-12-18 17:16:53
lomiri-ui-toolkit-lang-1.3.5110-r5.apk
96.51KB
2025-12-18 17:16:53
lomiri-url-dispatcher-0.1.4-r0.apk
39.89KB
2025-05-09 20:32:19
lomiri-url-dispatcher-dev-0.1.4-r0.apk
3.31KB
2025-05-09 20:32:19
lomiri-url-dispatcher-lang-0.1.4-r0.apk
25.88KB
2025-05-09 20:32:19
lotide-0.15.0-r0.apk
4.11MB
2024-10-25 23:32:22
lotide-openrc-0.15.0-r0.apk
3.12KB
2024-10-25 23:32:22
lout-3.42.2-r0.apk
1.42MB
2024-10-25 23:32:22
lout-doc-3.42.2-r0.apk
452.53KB
2024-10-25 23:32:22
lrcalc-2.1-r1.apk
11.37KB
2024-10-25 23:32:22
lrcalc-dev-2.1-r1.apk
11.33KB
2024-10-25 23:32:22
lrcalc-libs-2.1-r1.apk
29.46KB
2024-10-25 23:32:22
lsdvd-0.17-r0.apk
13.30KB
2024-10-25 23:32:22
lsdvd-doc-0.17-r0.apk
2.46KB
2024-10-25 23:32:22
lshell-0.9.18-r12.apk
36.22KB
2025-09-05 09:55:35
lshell-doc-0.9.18-r12.apk
25.11KB
2025-09-05 09:55:35
lshell-pyc-0.9.18-r12.apk
35.06KB
2025-09-05 09:55:35
lsix-1.8.2-r0.apk
6.52KB
2024-10-25 23:32:22
lspmux-0.3.0-r0.apk
1.06MB
2025-11-05 16:24:01
lspmux-doc-0.3.0-r0.apk
6.63KB
2025-11-05 16:24:01
lua-editorconfig-0.3.0-r0.apk
1.20KB
2024-10-25 23:32:22
lua-fn-0.1.0-r0.apk
3.38KB
2024-10-25 23:32:22
lua-inet-0.2.0-r1.apk
9.13KB
2024-10-25 23:32:22
lua-lcurses-9.0.0-r0.apk
1.15KB
2024-10-25 23:32:22
lua-libmodbus-0.6.1-r0.apk
1.18KB
2024-10-25 23:32:22
lua-libmodbus-doc-0.6.1-r0.apk
19.10KB
2024-10-25 23:32:22
lua-linenoise-0.9-r1.apk
1.17KB
2024-10-25 23:32:22
lua-luastatic-0.0.12-r1.apk
1.47KB
2024-10-25 23:32:22
lua-lupa-1.0-r0.apk
19.57KB
2024-10-25 23:32:22
lua-lut-1.2.1-r0.apk
89.14KB
2024-10-25 23:32:22
lua-psl-0.3-r0.apk
1.10KB
2024-10-25 23:32:22
lua-resty-redis-0.29-r0.apk
5.32KB
2024-10-25 23:32:22
lua-resty-upload-0.11-r0.apk
3.62KB
2024-10-25 23:32:22
lua-xml-1.1.3-r2.apk
1.43KB
2024-10-25 23:32:22
lua5.1-lcurses-9.0.0-r0.apk
28.43KB
2024-10-25 23:32:22
lua5.1-libguestfs-1.56.1-r0.apk
99.56KB
2025-07-23 01:02:11
lua5.1-libmodbus-0.6.1-r0.apk
10.57KB
2024-10-25 23:32:22
lua5.1-linenoise-0.9-r1.apk
19.42KB
2024-10-25 23:32:23
lua5.1-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 23:32:23
lua5.1-luacov-html-1.0.0-r1.apk
412.73KB
2024-10-25 23:32:23
lua5.1-luastatic-0.0.12-r1.apk
81.41KB
2024-10-25 23:32:23
lua5.1-psl-0.3-r0.apk
6.58KB
2024-10-25 23:32:23
lua5.1-ubus-2025.10.17-r0.apk
9.52KB
2025-10-25 15:58:01
lua5.1-xml-1.1.3-r2.apk
24.17KB
2024-10-25 23:32:23
lua5.2-editorconfig-0.3.0-r0.apk
4.47KB
2024-10-25 23:32:23
lua5.2-libmodbus-0.6.1-r0.apk
10.54KB
2024-10-25 23:32:23
lua5.2-linenoise-0.9-r1.apk
19.47KB
2024-10-25 23:32:23
lua5.2-luacov-0.15.0-r0.apk
23.28KB
2024-10-25 23:32:23
lua5.2-luacov-html-1.0.0-r1.apk
412.76KB
2024-10-25 23:32:23
lua5.2-luastatic-0.0.12-r1.apk
8.83KB
2024-10-25 23:32:23
lua5.2-psl-0.3-r0.apk
6.48KB
2024-10-25 23:32:23
lua5.2-ubus-2025.10.17-r0.apk
9.52KB
2025-10-25 15:58:01
lua5.2-xml-1.1.3-r2.apk
23.97KB
2024-10-25 23:32:23
lua5.3-editorconfig-0.3.0-r0.apk
4.53KB
2024-10-25 23:32:23
lua5.3-linenoise-0.9-r1.apk
19.45KB
2024-10-25 23:32:23
lua5.3-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 23:32:23
lua5.3-luacov-html-1.0.0-r1.apk
412.77KB
2024-10-25 23:32:23
lua5.3-luastatic-0.0.12-r1.apk
8.86KB
2024-10-25 23:32:23
lua5.3-psl-0.3-r0.apk
6.49KB
2024-10-25 23:32:23
lua5.4-editorconfig-0.3.0-r0.apk
4.53KB
2024-10-25 23:32:23
lua5.4-linenoise-0.9-r1.apk
19.44KB
2024-10-25 23:32:23
lua5.4-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 23:32:23
lua5.4-luastatic-0.0.12-r1.apk
8.91KB
2024-10-25 23:32:23
luacov-0.15.0-r0.apk
1.45KB
2024-10-25 23:32:23
luacov-html-1.0.0-r1.apk
1.21KB
2024-10-25 23:32:23
luapak-0.1.0_beta5-r0.apk
35.29KB
2024-10-25 23:32:23
luksmeta-9-r0.apk
12.86KB
2024-10-25 23:32:23
luksmeta-dev-9-r0.apk
3.10KB
2024-10-25 23:32:23
luksmeta-doc-9-r0.apk
5.48KB
2024-10-25 23:32:23
lumina-desktop-1.6.2-r0.apk
1.24KB
2024-10-25 23:32:23
lumina-desktop-archiver-1.6.2-r0.apk
165.42KB
2024-10-25 23:32:23
lumina-desktop-core-1.6.2-r0.apk
8.96MB
2024-10-25 23:32:23
lumina-desktop-coreutils-1.6.2-r0.apk
819.17KB
2024-10-25 23:32:23
lumina-desktop-doc-1.6.2-r0.apk
11.51KB
2024-10-25 23:32:23
lumina-desktop-fileinfo-1.6.2-r0.apk
160.56KB
2024-10-25 23:32:23
lumina-desktop-fm-1.6.2-r0.apk
395.29KB
2024-10-25 23:32:23
lumina-desktop-mediaplayer-1.6.2-r0.apk
200.33KB
2024-10-25 23:32:23
lumina-desktop-photo-1.6.2-r0.apk
125.57KB
2024-10-25 23:32:23
lumina-desktop-screenshot-1.6.2-r0.apk
169.21KB
2024-10-25 23:32:23
lumina-desktop-sudo-1.6.2-r0.apk
96.09KB
2024-10-25 23:32:23
lumina-desktop-textedit-1.6.2-r0.apk
193.96KB
2024-10-25 23:32:23
lutgen-1.0.1-r0.apk
1.92MB
2025-11-19 20:44:29
lutgen-bash-completion-1.0.1-r0.apk
1.74KB
2025-11-19 20:44:29
lutgen-doc-1.0.1-r0.apk
4.46KB
2025-11-19 20:44:29
lutgen-fish-completion-1.0.1-r0.apk
1.79KB
2025-11-19 20:44:29
lutgen-zsh-completion-1.0.1-r0.apk
1.71KB
2025-11-19 20:44:29
lutris-0.5.19-r1.apk
819.20KB
2025-09-16 21:09:12
lutris-doc-0.5.19-r1.apk
2.35KB
2025-09-16 21:09:12
lutris-lang-0.5.19-r1.apk
810.48KB
2025-09-16 21:09:12
lutris-pyc-0.5.19-r1.apk
1.13MB
2025-09-16 21:09:12
lv_font_conv-1.5.3-r0.apk
1.13MB
2025-08-19 22:54:18
lv_font_conv-doc-1.5.3-r0.apk
4.98KB
2025-08-19 22:54:18
lxappearance-0.6.3-r3.apk
30.78KB
2024-10-25 23:32:24
lxappearance-dev-0.6.3-r3.apk
3.24KB
2024-10-25 23:32:24
lxappearance-doc-0.6.3-r3.apk
2.61KB
2024-10-25 23:32:24
lxappearance-lang-0.6.3-r3.apk
80.50KB
2024-10-25 23:32:24
lxqt-wayland-session-0.3.0-r0.apk
338.99KB
2025-11-20 13:16:04
lxqt-wayland-session-doc-0.3.0-r0.apk
29.00KB
2025-11-20 13:16:04
lynis-3.1.4-r0.apk
275.68KB
2025-07-29 11:07:42
lynis-bash-completion-3.1.4-r0.apk
2.97KB
2025-07-29 11:07:42
lynis-doc-3.1.4-r0.apk
50.20KB
2025-07-29 11:07:42
lyrics-in-terminal-1.7.0-r0.apk
38.07KB
2025-01-03 12:09:48
m2r2-0.3.3-r3.apk
12.50KB
2024-10-25 23:32:28
m2r2-pyc-0.3.3-r3.apk
15.61KB
2024-10-25 23:32:28
macchina-6.4.0-r0.apk
1.03MB
2025-07-22 23:35:11
macchina-doc-6.4.0-r0.apk
5.67KB
2025-07-22 23:35:11
mage-1.13.0-r23.apk
1.60MB
2025-05-12 07:04:17
magpie-0.9.4-r0.apk
1.89MB
2025-10-16 20:08:12
magpie-dev-0.9.4-r0.apk
246.29KB
2025-10-16 20:08:12
magpie-lang-0.9.4-r0.apk
849.47KB
2025-10-16 20:08:12
maildir-rank-addr-1.4.1-r1.apk
3.40MB
2025-12-05 00:38:11
maildir-rank-addr-doc-1.4.1-r1.apk
2.28KB
2025-12-05 00:38:11
maildir2rss-0.0.7-r10.apk
3.70MB
2025-12-05 00:38:11
mailsec-check-0_git20210729-r31.apk
2.67MB
2025-12-05 00:38:11
makeclapman-2.4.4-r10.apk
1.38MB
2025-12-05 00:38:12
makeclapman-doc-2.4.4-r10.apk
4.14KB
2025-12-05 00:38:12
makedumpfile-1.7.8-r0.apk
167.94KB
2025-11-05 16:25:14
makedumpfile-doc-1.7.8-r0.apk
23.67KB
2025-11-05 16:25:14
makedumpfile-openrc-1.7.8-r0.apk
3.15KB
2025-11-05 16:25:14
makeself-2.5.0-r0.apk
13.00KB
2024-10-25 23:32:31
malcontent-0.13.1-r0.apk
162.68KB
2025-09-03 21:41:56
malcontent-dev-0.13.1-r0.apk
23.97KB
2025-09-03 21:41:56
malcontent-doc-0.13.1-r0.apk
44.73KB
2025-09-03 21:41:56
mangal-4.0.6-r23.apk
10.53MB
2025-12-05 00:38:12
mangal-bash-completion-4.0.6-r23.apk
4.99KB
2025-12-05 00:38:12
mangal-fish-completion-4.0.6-r23.apk
3.92KB
2025-12-05 00:38:12
mangal-zsh-completion-4.0.6-r23.apk
4.00KB
2025-12-05 00:38:12
mangowc-0.10.8-r0.apk
124.39KB
2025-12-21 01:32:15
mangr0ve-0.1.2-r0.apk
2.80KB
2024-10-25 23:32:32
mangr0ve-doc-0.1.2-r0.apk
14.42KB
2024-10-25 23:32:32
manifest-tool-2.2.0-r6.apk
4.02MB
2025-12-05 00:38:12
mapnik-4.1.4-r0.apk
12.48MB
2025-12-05 00:38:13
mapnik-dev-4.1.4-r0.apk
486.83KB
2025-12-05 00:38:13
mapnik-doc-4.1.4-r0.apk
142.95KB
2025-12-05 00:38:13
mapserver-8.4.1-r1.apk
1.36MB
2025-12-05 00:38:13
mapserver-dev-8.4.1-r1.apk
539.90KB
2025-12-05 00:38:13
marxan-4.0.7-r1.apk
580.72KB
2024-10-25 23:32:33
masky-0.2.0-r2.apk
276.87KB
2025-05-29 14:59:16
masky-pyc-0.2.0-r2.apk
63.66KB
2025-05-29 14:59:16
mat2-0.13.5-r0.apk
35.41KB
2025-09-16 22:00:11
mat2-doc-0.13.5-r0.apk
7.69KB
2025-09-16 22:00:11
mat2-pyc-0.13.5-r0.apk
54.48KB
2025-09-16 22:00:11
materia-20210322-r3.apk
1.67KB
2025-07-17 08:57:51
materia-chromium-20210322-r3.apk
5.69KB
2025-07-17 08:57:51
materia-compact-20210322-r3.apk
1.69KB
2025-07-17 08:57:51
materia-compact-chromium-20210322-r3.apk
5.70KB
2025-07-17 08:57:51
materia-compact-gnome-shell-20210322-r3.apk
29.31KB
2025-07-17 08:57:51
materia-compact-gtk2-20210322-r3.apk
34.60KB
2025-07-17 08:57:51
materia-compact-gtk3-20210322-r3.apk
62.91KB
2025-07-17 08:57:51
materia-compact-gtk4-20210322-r3.apk
42.57KB
2025-07-17 08:57:51
materia-dark-20210322-r3.apk
1.68KB
2025-07-17 08:57:51
materia-dark-chromium-20210322-r3.apk
5.71KB
2025-07-17 08:57:51
materia-dark-compact-20210322-r3.apk
1.71KB
2025-07-17 08:57:51
materia-dark-compact-chromium-20210322-r3.apk
5.72KB
2025-07-17 08:57:51
materia-dark-compact-gnome-shell-20210322-r3.apk
29.29KB
2025-07-17 08:57:51
materia-dark-compact-gtk2-20210322-r3.apk
34.51KB
2025-07-17 08:57:51
materia-dark-compact-gtk3-20210322-r3.apk
39.60KB
2025-07-17 08:57:51
materia-dark-compact-gtk4-20210322-r3.apk
29.40KB
2025-07-17 08:57:51
materia-dark-gnome-shell-20210322-r3.apk
29.21KB
2025-07-17 08:57:51
materia-dark-gtk2-20210322-r3.apk
34.50KB
2025-07-17 08:57:51
materia-dark-gtk3-20210322-r3.apk
39.61KB
2025-07-17 08:57:51
materia-dark-gtk4-20210322-r3.apk
29.40KB
2025-07-17 08:57:51
materia-gnome-shell-20210322-r3.apk
29.21KB
2025-07-17 08:57:51
materia-gtk-theme-20210322-r3.apk
2.86KB
2025-07-17 08:57:51
materia-gtk2-20210322-r3.apk
34.57KB
2025-07-17 08:57:51
materia-gtk3-20210322-r3.apk
63.03KB
2025-07-17 08:57:51
materia-gtk4-20210322-r3.apk
42.67KB
2025-07-17 08:57:51
mautrix-linkedin-0.2512.0-r0.apk
6.80MB
2025-12-16 20:02:35
mautrix-linkedin-doc-0.2512.0-r0.apk
13.20KB
2025-12-16 20:02:35
mautrix-linkedin-openrc-0.2512.0-r0.apk
1.96KB
2025-12-16 20:02:35
mautrix-zulip-0.2511.0-r1.apk
6.90MB
2025-12-05 00:38:14
mautrix-zulip-doc-0.2511.0-r1.apk
13.21KB
2025-12-05 00:38:14
mautrix-zulip-openrc-0.2511.0-r1.apk
1.96KB
2025-12-05 00:38:14
maxima-5.48.1-r9.apk
25.51MB
2025-08-22 13:15:40
maxima-bash-completion-5.48.1-r9.apk
2.33KB
2025-08-22 13:15:40
maxima-doc-5.48.1-r9.apk
845.69KB
2025-08-22 13:15:40
maxima-doc-extra-5.48.1-r9.apk
9.65MB
2025-08-22 13:15:41
maxima-emacs-5.48.1-r9.apk
110.75KB
2025-08-22 13:15:41
mcjoin-2.11-r0.apk
22.72KB
2024-10-25 23:32:35
mcjoin-doc-2.11-r0.apk
53.73KB
2024-10-25 23:32:35
md5ha1-0_git20171202-r1.apk
8.97KB
2024-10-25 23:32:35
mdbook-admonish-1.20.0-r0.apk
1.09MB
2025-06-17 00:33:49
mdbook-alerts-0.8.0-r0.apk
771.93KB
2025-09-29 22:34:32
mdbook-katex-0.9.4-r0.apk
1.28MB
2025-05-17 13:44:10
mdbook-linkcheck-0.7.7-r0.apk
2.83MB
2025-05-16 22:16:44
mdbook-plantuml-0.8.0-r0.apk
1.04MB
2024-10-25 23:32:35
mdnsd-0.12-r1.apk
23.68KB
2024-10-25 23:32:36
mdnsd-doc-0.12-r1.apk
14.36KB
2024-10-25 23:32:36
mdnsd-libs-0.12-r1.apk
18.64KB
2024-10-25 23:32:36
mdnsd-openrc-0.12-r1.apk
2.13KB
2024-10-25 23:32:36
mdnsd-static-0.12-r1.apk
18.95KB
2024-10-25 23:32:36
mdp-1.0.18-r0.apk
17.70KB
2025-07-10 22:45:23
mdp-doc-1.0.18-r0.apk
3.87KB
2025-07-10 22:45:23
mediascanner2-0.118-r4.apk
284.92KB
2025-11-17 14:54:40
mediascanner2-systemd-0.118-r4.apk
1.79KB
2025-11-17 14:54:40
mediastreamer2-5.3.112-r1.apk
391.80KB
2025-10-19 13:48:58
mediastreamer2-dev-5.3.112-r1.apk
109.66KB
2025-10-19 13:48:58
mediastreamer2-doc-5.3.112-r1.apk
107.71KB
2025-10-19 13:48:58
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
12.49KB
2025-03-07 00:31:21
mediastreamer2-plugin-x264-20200722-r6.apk
8.12KB
2024-10-25 23:32:36
meep-1.31.0-r1.apk
662.77KB
2025-08-19 16:22:29
meep-dev-1.31.0-r1.apk
504.74KB
2025-08-19 16:22:29
megatools-1.11.5.20250706-r0.apk
65.19KB
2025-07-30 12:51:21
megatools-bash-completion-1.11.5.20250706-r0.apk
4.09KB
2025-07-30 12:51:21
megatools-doc-1.11.5.20250706-r0.apk
52.15KB
2025-07-30 12:51:21
megazeux-2.93d-r0.apk
1.67MB
2025-06-10 19:00:41
megazeux-doc-2.93d-r0.apk
465.29KB
2025-06-10 19:00:41
melange-0.37.0-r0.apk
12.26MB
2025-12-16 16:55:57
melange-bash-completion-0.37.0-r0.apk
6.65KB
2025-12-16 16:55:57
melange-fish-completion-0.37.0-r0.apk
4.32KB
2025-12-16 16:55:57
melange-zsh-completion-0.37.0-r0.apk
4.04KB
2025-12-16 16:55:57
memdump-1.01-r1.apk
5.70KB
2024-10-25 23:32:36
memdump-doc-1.01-r1.apk
3.11KB
2024-10-25 23:32:36
menumaker-0.99.14-r1.apk
111.02KB
2024-10-25 23:32:36
mergerfs-2.41.1-r0.apk
434.01KB
2025-12-13 18:14:14
mergerfs-doc-2.41.1-r0.apk
3.31KB
2025-12-13 18:14:14
mesonlsp-4.3.7-r4.apk
2.11MB
2025-06-19 08:35:53
metadata-cleaner-2.5.6-r0.apk
49.19KB
2025-07-08 23:56:27
metadata-cleaner-doc-2.5.6-r0.apk
1.90MB
2025-07-08 23:56:27
metadata-cleaner-lang-2.5.6-r0.apk
65.97KB
2025-07-08 23:56:27
metalang99-1.13.3-r0.apk
54.30KB
2024-10-25 23:32:38
metricbeat-9.2.0-r1.apk
48.55MB
2025-12-05 00:38:17
metricbeat-openrc-9.2.0-r1.apk
2.05KB
2025-12-05 00:38:17
microsocks-1.0.5-r0.apk
8.40KB
2025-12-22 01:53:23
microsocks-doc-1.0.5-r0.apk
3.94KB
2025-12-22 01:53:23
microsocks-openrc-1.0.5-r0.apk
1.83KB
2025-12-22 01:53:23
milkytracker-1.04.00-r2.apk
1.00MB
2024-10-25 23:32:38
milkytracker-doc-1.04.00-r2.apk
50.42KB
2024-10-25 23:32:38
mimalloc1-1.9.4-r0.apk
84.74KB
2025-11-05 16:29:43
mimalloc1-debug-1.9.4-r0.apk
209.59KB
2025-11-05 16:29:43
mimalloc1-dev-1.9.4-r0.apk
519.95KB
2025-11-05 16:29:43
mimalloc1-insecure-1.9.4-r0.apk
79.14KB
2025-11-05 16:29:43
mimedefang-3.6-r1.apk
158.88KB
2025-10-06 04:15:03
mimedefang-doc-3.6-r1.apk
81.05KB
2025-10-06 04:15:03
mimeo-2023-r2.apk
28.15KB
2024-10-25 23:32:38
mimeo-pyc-2023-r2.apk
41.55KB
2024-10-25 23:32:38
minidyndns-1.3.0-r3.apk
11.65KB
2024-10-25 23:32:38
minidyndns-doc-1.3.0-r3.apk
5.10KB
2024-10-25 23:32:38
minidyndns-openrc-1.3.0-r3.apk
1.84KB
2024-10-25 23:32:38
minigalaxy-1.4.0-r0.apk
197.27KB
2025-07-11 06:36:58
minigalaxy-pyc-1.4.0-r0.apk
134.56KB
2025-07-11 06:36:58
minimodem-0.24-r1.apk
21.11KB
2024-10-25 23:32:38
minimodem-doc-0.24-r1.apk
5.20KB
2024-10-25 23:32:38
minisatip-1.3.4-r0.apk
322.12KB
2024-10-25 23:32:38
minisatip-openrc-1.3.4-r0.apk
1.90KB
2024-10-25 23:32:38
mint-themes-2.3.2-r0.apk
1.84MB
2025-11-14 20:02:07
mint-themes-doc-2.3.2-r0.apk
14.28KB
2025-11-14 20:02:07
mint-x-icons-1.7.5-r0.apk
23.21MB
2025-12-01 08:05:01
mint-x-icons-doc-1.7.5-r0.apk
7.88KB
2025-12-01 08:05:01
mint-x-theme-2.3.2-r0.apk
2.77KB
2025-11-14 20:02:09
mint-x-theme-gtk2-2.3.2-r0.apk
452.69KB
2025-11-14 20:02:09
mint-x-theme-gtk3-2.3.2-r0.apk
597.34KB
2025-11-14 20:02:09
mint-x-theme-gtk4-2.3.2-r0.apk
503.08KB
2025-11-14 20:02:09
mint-x-theme-metacity-2.3.2-r0.apk
6.03KB
2025-11-14 20:02:09
mint-x-theme-xfwm4-2.3.2-r0.apk
31.30KB
2025-11-14 20:02:09
mint-y-icons-1.8.9-r0.apk
72.98MB
2025-12-01 08:05:05
mint-y-icons-doc-1.8.9-r0.apk
11.93KB
2025-12-01 08:05:05
mint-y-theme-2.3.2-r0.apk
3.55KB
2025-11-14 20:02:13
mint-y-theme-gtk2-2.3.2-r0.apk
594.81KB
2025-11-14 20:02:13
mint-y-theme-gtk3-2.3.2-r0.apk
1.98MB
2025-11-14 20:02:13
mint-y-theme-gtk4-2.3.2-r0.apk
1.66MB
2025-11-14 20:02:14
mint-y-theme-metacity-2.3.2-r0.apk
54.91KB
2025-11-14 20:02:14
mint-y-theme-xfwm4-2.3.2-r0.apk
203.41KB
2025-11-14 20:02:14
mir-2.25.2-r0.apk
2.59MB
2025-12-18 17:16:53
mir-demos-2.25.2-r0.apk
160.02KB
2025-12-18 17:16:53
mir-dev-2.25.2-r0.apk
8.85MB
2025-12-18 17:16:54
mir-test-tools-2.25.2-r0.apk
1.38MB
2025-12-18 17:16:54
miracle-wm-0.8.2-r1.apk
479.28KB
2025-12-18 17:16:54
miracle-wm-dev-0.8.2-r1.apk
15.50KB
2025-12-18 17:16:54
mirrorhall-0.1.1-r2.apk
26.31KB
2025-08-09 03:44:10
mjpg-streamer-0_git20210220-r2.apk
185.01KB
2025-05-14 18:03:51
mkbrr-1.18.0-r1.apk
4.22MB
2025-12-05 00:38:17
mkcert-1.4.4-r24.apk
1.82MB
2025-12-05 00:38:17
mkdocs-bootstrap-1.1.1-r2.apk
28.52KB
2024-10-25 23:32:45
mkdocs-bootstrap-pyc-1.1.1-r2.apk
1.81KB
2024-10-25 23:32:45
mkdocs-bootstrap386-0.0.2-r5.apk
791.25KB
2024-10-25 23:32:45
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
1.82KB
2024-10-25 23:32:45
mkdocs-bootstrap4-0.1.5-r5.apk
260.06KB
2024-10-25 23:32:45
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
1.81KB
2024-10-25 23:32:45
mkdocs-bootswatch-1.1-r5.apk
538.40KB
2024-10-25 23:32:45
mkdocs-bootswatch-pyc-1.1-r5.apk
4.72KB
2024-10-25 23:32:45
mkdocs-cinder-1.2.0-r5.apk
248.63KB
2024-10-25 23:32:45
mkdocs-cinder-pyc-1.2.0-r5.apk
1.80KB
2024-10-25 23:32:45
mkdocs-cluster-0.0.9-r5.apk
651.14KB
2024-10-25 23:32:45
mkdocs-cluster-pyc-0.0.9-r5.apk
1.81KB
2024-10-25 23:32:45
mkdocs-gitbook-0.0.1-r5.apk
644.13KB
2024-10-25 23:32:45
mkdocs-gitbook-pyc-0.0.1-r5.apk
1.82KB
2024-10-25 23:32:45
mkdocs-ivory-0.4.6-r5.apk
10.93KB
2024-10-25 23:32:45
mkdocs-ivory-pyc-0.4.6-r5.apk
1.80KB
2024-10-25 23:32:45
mkdocs-rtd-dropdown-1.0.2-r5.apk
248.37KB
2024-10-25 23:32:45
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
1.81KB
2024-10-25 23:32:45
mkdocs-windmill-1.0.5-r4.apk
944.06KB
2024-10-25 23:32:45
mkdocs-windmill-pyc-1.0.5-r4.apk
1.81KB
2024-10-25 23:32:45
mkdotenv-0.4.9-r2.apk
966.67KB
2025-12-05 00:38:17
mkg3a-0.5.0-r1.apk
14.05KB
2024-10-25 23:32:45
mkg3a-doc-0.5.0-r1.apk
3.06KB
2024-10-25 23:32:45
mkosi-26-r1.apk
283.05KB
2025-12-27 19:17:32
mkosi-doc-26-r1.apk
74.98KB
2025-12-27 19:17:32
mkosi-pyc-26-r1.apk
438.48KB
2025-12-27 19:17:32
mkosi-sandbox-26-r1.apk
13.58KB
2025-12-27 19:17:32
mktorrent-borg-0.9.9-r1.apk
9.76KB
2024-10-25 23:32:45
mktorrent-borg-doc-0.9.9-r1.apk
2.49KB
2024-10-25 23:32:45
mlxl-0.1-r0.apk
5.89KB
2024-10-25 23:32:45
mm-1.4.2-r1.apk
8.58KB
2024-10-25 23:32:45
mm-common-1.0.7-r0.apk
414.17KB
2025-06-27 19:39:55
mm-common-doc-1.0.7-r0.apk
33.49KB
2025-06-27 19:39:55
mm-dev-1.4.2-r1.apk
12.45KB
2024-10-25 23:32:45
mm-doc-1.4.2-r1.apk
14.30KB
2024-10-25 23:32:45
mmar-0.2.5-r1.apk
2.61MB
2025-05-12 07:04:21
mml-1.0.0-r1.apk
895.30KB
2025-09-30 03:41:01
mml-bash-completion-1.0.0-r1.apk
2.26KB
2025-09-30 03:41:01
mml-doc-1.0.0-r1.apk
3.79KB
2025-09-30 03:41:01
mml-fish-completion-1.0.0-r1.apk
2.23KB
2025-09-30 03:41:01
mml-zsh-completion-1.0.0-r1.apk
2.78KB
2025-09-30 03:41:01
mnamer-2.5.5-r1.apk
31.71KB
2024-10-25 23:32:45
mnamer-pyc-2.5.5-r1.apk
60.48KB
2024-10-25 23:32:45
mobpass-0.2-r6.apk
18.04KB
2024-10-25 23:32:45
mobpass-pyc-0.2-r6.apk
5.24KB
2024-10-25 23:32:45
mobroute-0.10.0-r5.apk
4.72MB
2025-12-05 00:38:17
mobroute-doc-0.10.0-r5.apk
1.34MB
2025-12-05 00:38:17
mod_dnssd-0.6-r1.apk
9.03KB
2025-08-09 03:44:10
modem-manager-gui-0.0.20-r0.apk
344.18KB
2024-10-25 23:32:46
modem-manager-gui-doc-0.0.20-r0.apk
3.94MB
2024-10-25 23:32:46
modem-manager-gui-lang-0.0.20-r0.apk
129.27KB
2024-10-25 23:32:46
mods-1.8.1-r3.apk
10.38MB
2025-12-05 00:38:18
mods-doc-1.8.1-r3.apk
2.26KB
2025-12-05 00:38:18
moe-1.14-r0.apk
112.51KB
2024-10-25 23:32:46
moe-doc-1.14-r0.apk
19.01KB
2024-10-25 23:32:46
moka-icon-theme-5.4.0-r2.apk
113.74MB
2024-10-25 23:32:53
monetdb-11.33.11-r4.apk
2.55MB
2024-10-25 23:32:53
monetdb-dev-11.33.11-r4.apk
77.10KB
2024-10-25 23:32:53
monetdb-doc-11.33.11-r4.apk
320.93KB
2024-10-25 23:32:53
mongo-cxx-driver-3.8.0-r0.apk
186.40KB
2024-10-25 23:32:53
mongo-cxx-driver-dev-3.8.0-r0.apk
88.88KB
2024-10-25 23:32:53
monopd-0.10.4-r0.apk
89.33KB
2025-01-11 13:11:37
monopd-openrc-0.10.4-r0.apk
1.69KB
2025-01-11 13:11:37
moon-buggy-1.0.51-r1.apk
38.50KB
2024-10-25 23:32:53
moon-buggy-doc-1.0.51-r1.apk
7.13KB
2024-10-25 23:32:53
moosefs-4.56.6-r2.apk
286.33KB
2025-06-19 12:30:10
moosefs-cgi-4.56.6-r2.apk
121.18KB
2025-06-19 12:30:10
moosefs-cgiserv-4.56.6-r2.apk
7.82KB
2025-06-19 12:30:10
moosefs-cgiserv-openrc-4.56.6-r2.apk
1.99KB
2025-06-19 12:30:10
moosefs-chunkserver-4.56.6-r2.apk
207.01KB
2025-06-19 12:30:10
moosefs-chunkserver-openrc-4.56.6-r2.apk
1.68KB
2025-06-19 12:30:10
moosefs-client-4.56.6-r2.apk
691.45KB
2025-06-19 12:30:10
moosefs-doc-4.56.6-r2.apk
95.17KB
2025-06-19 12:30:10
moosefs-master-4.56.6-r2.apk
382.99KB
2025-06-19 12:30:10
moosefs-master-openrc-4.56.6-r2.apk
1.67KB
2025-06-19 12:30:10
moosefs-metalogger-4.56.6-r2.apk
42.25KB
2025-06-19 12:30:10
moosefs-metalogger-openrc-4.56.6-r2.apk
1.67KB
2025-06-19 12:30:10
moosefs-static-4.56.6-r2.apk
724.38KB
2025-06-19 12:30:10
motion-4.7.1-r0.apk
146.13KB
2025-09-29 22:34:33
motion-doc-4.7.1-r0.apk
139.64KB
2025-09-29 22:34:33
motion-lang-4.7.1-r0.apk
470.79KB
2025-09-29 22:34:33
motion-openrc-4.7.1-r0.apk
2.22KB
2025-09-29 22:34:33
mp3val-0.1.8-r1.apk
13.19KB
2024-10-25 23:32:53
mpdcron-0.3-r1.apk
98.03KB
2024-10-25 23:32:53
mpdcron-dev-0.3-r1.apk
54.85KB
2024-10-25 23:32:53
mpdcron-doc-0.3-r1.apk
13.37KB
2024-10-25 23:32:53
mpdcron-zsh-completion-0.3-r1.apk
2.88KB
2024-10-25 23:32:53
mpdris2-0.9.1-r3.apk
15.19KB
2024-10-25 23:32:53
mpdris2-doc-0.9.1-r3.apk
14.87KB
2024-10-25 23:32:53
mpdris2-lang-0.9.1-r3.apk
2.33KB
2024-10-25 23:32:53
mpv-sponsorblock-2.2.0-r0.apk
1.37MB
2025-06-16 18:16:42
mqtt2prometheus-0.1.7-r21.apk
4.56MB
2025-12-05 00:38:18
mrsh-0_git20210518-r1.apk
5.59KB
2024-10-25 23:32:54
mrsh-dbg-0_git20210518-r1.apk
207.65KB
2024-10-25 23:32:54
mrsh-dev-0_git20210518-r1.apk
9.99KB
2024-10-25 23:32:54
mrsh-libs-0_git20210518-r1.apk
61.58KB
2024-10-25 23:32:54
msgpuck-2.0-r1.apk
1.17KB
2024-10-25 23:32:54
msgpuck-dev-2.0-r1.apk
22.79KB
2024-10-25 23:32:54
msgpuck-doc-2.0-r1.apk
7.32KB
2024-10-25 23:32:54
msh-2.5.0-r17.apk
2.99MB
2025-12-05 00:38:18
msh-openrc-2.5.0-r17.apk
1.99KB
2025-12-05 00:38:18
mspdebug-0.25-r1.apk
206.86KB
2024-10-25 23:32:54
mspdebug-doc-0.25-r1.apk
14.21KB
2024-10-25 23:32:54
mtail-3.2.26-r1.apk
13.79MB
2025-12-05 00:38:19
mtail-openrc-3.2.26-r1.apk
1.98KB
2025-12-05 00:38:19
mtg-2.1.7-r26.apk
4.61MB
2025-12-05 00:38:19
mtg-openrc-2.1.7-r26.apk
1.87KB
2025-12-05 00:38:19
muse-4.2.1-r2.apk
6.44MB
2025-05-12 14:59:48
muse-doc-4.2.1-r2.apk
4.11MB
2025-05-12 14:59:49
musikcube-3.0.5-r0.apk
2.31MB
2025-09-29 22:34:33
musikcube-dev-3.0.5-r0.apk
19.10KB
2025-09-29 22:34:33
musikcube-plugin-all-3.0.5-r0.apk
1.34KB
2025-09-29 22:34:33
musikcube-plugin-httpdatastream-3.0.5-r0.apk
79.70KB
2025-09-29 22:34:33
musikcube-plugin-mpris-3.0.5-r0.apk
21.63KB
2025-09-29 22:34:33
musikcube-plugin-openmpt-3.0.5-r0.apk
30.14KB
2025-09-29 22:34:33
musikcube-plugin-server-3.0.5-r0.apk
384.28KB
2025-09-29 22:34:33
musikcube-plugin-stockencoders-3.0.5-r0.apk
20.19KB
2025-09-29 22:34:33
musikcube-plugin-supereqdsp-3.0.5-r0.apk
30.96KB
2025-09-29 22:34:33
musikcube-plugin-taglibreader-3.0.5-r0.apk
36.78KB
2025-09-29 22:34:33
mxclient-0_git20211002-r1.apk
75.73KB
2024-10-25 23:32:55
n30f-2.0-r3.apk
7.13KB
2024-10-25 23:32:55
naabu-2.3.7-r1.apk
11.95MB
2025-12-05 00:38:20
naabu-doc-2.3.7-r1.apk
2.28KB
2025-12-05 00:38:20
nano-hare-0_git20231021-r0.apk
2.18KB
2024-10-25 23:32:55
nautilus-python-4.0.1-r0.apk
10.15KB
2025-10-13 00:54:25
nautilus-python-dev-4.0.1-r0.apk
1.70KB
2025-10-13 00:54:25
nautilus-python-doc-4.0.1-r0.apk
4.24KB
2025-10-13 00:54:25
nauty-2.9.1-r0.apk
7.07MB
2025-09-08 19:31:23
nauty-dev-2.9.1-r0.apk
4.37MB
2025-09-08 19:31:23
nauty-libs-2.9.1-r0.apk
3.39MB
2025-09-08 19:31:23
nb-7.19.1-r0.apk
152.13KB
2025-05-25 01:10:17
nb-bash-completion-7.19.1-r0.apk
2.99KB
2025-05-25 01:10:17
nb-doc-7.19.1-r0.apk
77.47KB
2025-05-25 01:10:17
nb-fish-completion-7.19.1-r0.apk
2.80KB
2025-05-25 01:10:17
nb-full-7.19.1-r0.apk
1.30KB
2025-05-25 01:10:17
nb-zsh-completion-7.19.1-r0.apk
2.95KB
2025-05-25 01:10:17
nbsdgames-5-r0.apk
110.20KB
2024-10-25 23:32:56
nbsdgames-doc-5-r0.apk
9.40KB
2024-10-25 23:32:56
neard-0.19-r0.apk
141.14KB
2024-10-25 23:32:56
neard-dev-0.19-r0.apk
11.09KB
2024-10-25 23:32:56
neard-doc-0.19-r0.apk
5.61KB
2024-10-25 23:32:56
neard-openrc-0.19-r0.apk
1.71KB
2024-10-25 23:32:56
neko-2.3.0-r0.apk
461.27KB
2024-11-21 00:25:16
neko-dev-2.3.0-r0.apk
10.38KB
2024-11-21 00:25:16
neko-doc-2.3.0-r0.apk
19.92KB
2024-11-21 00:25:16
nemo-gtkhash-plugin-1.5-r0.apk
23.97KB
2025-03-10 20:17:13
neocmakelsp-0.9.0-r0.apk
1.73MB
2025-11-22 15:30:48
neocmakelsp-bash-completion-0.9.0-r0.apk
1.99KB
2025-11-22 15:30:48
neocmakelsp-doc-0.9.0-r0.apk
5.83KB
2025-11-22 15:30:48
neocmakelsp-fish-completion-0.9.0-r0.apk
1.62KB
2025-11-22 15:30:48
neocmakelsp-zsh-completion-0.9.0-r0.apk
1.82KB
2025-11-22 15:30:48
neofetch-7.1.0-r2.apk
85.66KB
2024-11-06 23:47:04
neofetch-doc-7.1.0-r2.apk
6.18KB
2024-11-06 23:47:04
net-predictable-1.5.1-r5.apk
972.36KB
2025-12-05 00:38:20
net-predictable-doc-1.5.1-r5.apk
2.24KB
2025-12-05 00:38:20
netdiscover-0.21-r0.apk
927.08KB
2025-08-15 15:46:09
netdiscover-doc-0.21-r0.apk
4.21KB
2025-08-15 15:46:09
netscanner-0.5.1-r1.apk
3.66MB
2025-07-01 22:03:25
netscanner-doc-0.5.1-r1.apk
3.30KB
2025-07-01 22:03:25
netsed-1.4-r0.apk
10.13KB
2025-08-18 13:15:42
networkmanager-dmenu-2.6.1-r1.apk
13.80KB
2025-10-13 19:21:35
networkmanager-dmenu-doc-2.6.1-r1.apk
6.80KB
2025-10-13 19:21:35
newsyslog-1.2.0.91-r1.apk
18.37KB
2024-10-25 23:32:57
newsyslog-doc-1.2.0.91-r1.apk
23.96KB
2024-10-25 23:32:57
nextpnr-0.7-r0.apk
1.45KB
2024-10-25 23:32:57
nextpnr-ecp5-0.7-r0.apk
27.53MB
2024-10-25 23:32:59
nextpnr-generic-0.7-r0.apk
782.96KB
2024-10-25 23:32:59
nextpnr-gowin-0.7-r0.apk
1.53MB
2024-10-25 23:32:59
nextpnr-ice40-0.7-r0.apk
73.66MB
2024-10-25 23:33:04
nfcd-1.2.3-r1.apk
368.81KB
2025-11-15 13:09:43
nfcd-dev-1.2.3-r1.apk
24.37KB
2025-11-15 13:09:43
nfcd-systemd-1.2.3-r1.apk
1.83KB
2025-11-15 13:09:43
nfoview-2.1-r0.apk
38.67KB
2025-04-15 04:17:25
nfoview-doc-2.1-r0.apk
8.01KB
2025-04-15 04:17:25
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
712.72KB
2024-10-25 23:33:04
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
21.26KB
2024-10-25 23:33:04
ngs-0.2.14-r0.apk
295.38KB
2024-10-25 23:33:04
ngs-aws-0.2.14-r0.apk
32.68KB
2024-10-25 23:33:04
ngs-vim-0.2.14-r0.apk
4.90KB
2024-10-25 23:33:04
nitro-2.7_beta8-r2.apk
592.99KB
2024-10-25 23:33:04
nitro-dev-2.7_beta8-r2.apk
189.98KB
2024-10-25 23:33:04
nitro-init-0.6-r0.apk
21.68KB
2025-12-17 01:50:34
nitro-init-doc-0.6-r0.apk
4.60KB
2025-12-17 01:50:34
nkk-0_git20221010-r0.apk
15.38KB
2024-10-25 23:33:04
nkk-dev-0_git20221010-r0.apk
2.90KB
2024-10-25 23:33:04
nkk-doc-0_git20221010-r0.apk
6.97KB
2024-10-25 23:33:04
nm-tray-0.5.1-r0.apk
106.02KB
2025-09-11 20:35:27
nm-tray-lang-0.5.1-r0.apk
27.25KB
2025-09-11 20:35:27
nmap-parse-output-1.5.1-r1.apk
20.49KB
2025-05-29 14:59:16
nmap-parse-output-bash-completion-1.5.1-r1.apk
1.98KB
2025-05-29 14:59:16
nmap-parse-output-doc-1.5.1-r1.apk
807.69KB
2025-05-29 14:59:16
noblenote-1.2.1-r1.apk
405.91KB
2024-10-25 23:33:04
node-libpg-query-13.1.2-r5.apk
19.10KB
2024-10-25 23:33:04
noggin-model-0.1-r0.apk
11.99MB
2024-10-25 23:33:05
noggin-model-lightweight-0.1-r0.apk
1.68MB
2024-10-25 23:33:06
noice-0.8-r1.apk
9.83KB
2024-10-25 23:33:06
noice-doc-0.8-r1.apk
3.36KB
2024-10-25 23:33:06
nom-2.8.0-r8.apk
7.16MB
2025-12-05 00:38:20
nom-doc-2.8.0-r8.apk
4.02KB
2025-12-05 00:38:20
nomadnet-0.8.0-r0.apk
143.29KB
2025-09-29 22:34:33
nomadnet-pyc-0.8.0-r0.apk
284.64KB
2025-09-29 22:34:33
normaliz-3.10.4-r3.apk
44.61KB
2025-11-26 10:27:06
normaliz-dev-3.10.4-r3.apk
72.57KB
2025-11-26 10:27:06
normaliz-libs-3.10.4-r3.apk
3.10MB
2025-11-26 10:27:06
notification-daemon-3.20.0-r1.apk
62.37KB
2025-07-02 01:03:49
nrf5-sdk-17.1.0-r0.apk
46.96MB
2025-08-19 22:54:21
nrf5-sdk-doc-17.1.0-r0.apk
3.63KB
2025-08-19 22:54:21
nsnake-3.0.0-r0.apk
9.23KB
2024-10-25 23:33:06
nsnake-doc-3.0.0-r0.apk
2.63KB
2024-10-25 23:33:06
nsq-1.3.0-r10.apk
25.75MB
2025-05-12 07:04:25
ntpd-rs-1.6.2-r2.apk
3.23MB
2025-12-18 19:56:49
ntpd-rs-doc-1.6.2-r2.apk
24.20KB
2025-12-18 19:56:49
ntpd-rs-openrc-1.6.2-r2.apk
1.94KB
2025-12-18 19:56:49
nuklear-4.12.0-r0.apk
219.83KB
2024-10-25 23:33:08
nuklear-doc-4.12.0-r0.apk
42.44KB
2024-10-25 23:33:08
nullmailer-2.2-r4.apk
131.32KB
2024-10-25 23:33:08
nullmailer-doc-2.2-r4.apk
10.22KB
2024-10-25 23:33:08
nullmailer-openrc-2.2-r4.apk
1.60KB
2024-10-25 23:33:08
nuzzle-1.6-r0.apk
11.79KB
2025-01-17 16:15:58
nuzzle-doc-1.6-r0.apk
3.20KB
2025-01-17 16:15:58
nvim-cmp-0.0.0_git20221011-r1.apk
54.85KB
2024-10-25 23:33:08
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
7.81KB
2024-10-25 23:33:08
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
4.15KB
2024-10-25 23:33:08
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
3.31KB
2024-10-25 23:33:08
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
1.79KB
2024-10-25 23:33:08
nvim-cmp-doc-0.0.0_git20221011-r1.apk
10.46KB
2024-10-25 23:33:08
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
3.48KB
2024-10-25 23:33:08
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2.61KB
2024-10-25 23:33:08
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
3.52KB
2024-10-25 23:33:08
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2.04KB
2024-10-25 23:33:08
nvim-cmp-path-0.0.0_git20221002-r1.apk
3.77KB
2024-10-25 23:33:08
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
1.97KB
2024-10-25 23:33:08
nvimpager-0.12.0-r0.apk
12.59KB
2024-10-25 23:33:08
nvimpager-doc-0.12.0-r0.apk
4.43KB
2024-10-25 23:33:08
nvimpager-zsh-completion-0.12.0-r0.apk
1.83KB
2024-10-25 23:33:08
nwg-bar-0.1.6-r15.apk
1.65MB
2025-12-05 00:38:20
nwg-displays-0.3.26-r0.apk
26.66KB
2025-08-28 10:39:49
nwg-displays-pyc-0.3.26-r0.apk
36.05KB
2025-08-28 10:39:49
nwg-dock-0.4.3-r5.apk
1.81MB
2025-12-05 00:38:20
nwg-look-1.0.6-r1.apk
1.54MB
2025-12-05 00:38:21
nwg-look-doc-1.0.6-r1.apk
4.16KB
2025-12-05 00:38:21
nwg-menu-0.1.9-r4.apk
1.76MB
2025-12-05 00:38:21
nwg-menu-doc-0.1.9-r4.apk
2.27KB
2025-12-05 00:38:21
nwg-panel-0.10.13-r0.apk
288.04KB
2025-11-28 15:29:54
nwg-panel-doc-0.10.13-r0.apk
4.45KB
2025-11-28 15:29:54
nwg-panel-pyc-0.10.13-r0.apk
269.72KB
2025-11-28 15:29:54
nwipe-0.39-r0.apk
272.13KB
2025-12-05 04:01:16
nwipe-doc-0.39-r0.apk
3.80KB
2025-12-05 04:01:16
nymphcast-mediaserver-0.1-r4.apk
75.54KB
2025-08-29 00:09:07
nymphcast-mediaserver-nftables-0.1-r4.apk
1.70KB
2025-08-29 00:09:07
nyuu-0.4.2-r0.apk
614.52KB
2025-10-13 00:04:21
nzbget-25.4-r0.apk
4.94MB
2025-11-17 16:41:37
nzbget-openrc-25.4-r0.apk
2.08KB
2025-11-17 16:41:37
oauth2-proxy-7.11.0-r4.apk
8.91MB
2025-12-05 00:38:21
oauth2-proxy-openrc-7.11.0-r4.apk
2.11KB
2025-12-05 00:38:21
objconv-2.52_git20210213-r2.apk
243.00KB
2024-10-25 23:33:09
oblibs-0.3.4.0-r0.apk
35.22KB
2025-06-01 18:50:53
oblibs-dbg-0.3.4.0-r0.apk
98.26KB
2025-06-01 18:50:53
oblibs-dev-0.3.4.0-r0.apk
250.16KB
2025-06-01 18:50:53
obnc-0.17.2-r0.apk
142.98KB
2025-05-26 02:37:12
obnc-doc-0.17.2-r0.apk
33.32KB
2025-05-26 02:37:12
ocaml-camlpdf-2.8.1-r0.apk
6.00MB
2025-05-08 14:05:34
ocaml-camomile-1.0.2-r3.apk
1.35MB
2024-10-25 23:33:12
ocaml-camomile-data-1.0.2-r3.apk
5.10MB
2024-10-25 23:33:13
ocaml-camomile-dev-1.0.2-r3.apk
2.46MB
2024-10-25 23:33:13
ocaml-charinfo_width-1.1.0-r3.apk
108.37KB
2024-10-25 23:33:13
ocaml-charinfo_width-dev-1.1.0-r3.apk
185.52KB
2024-10-25 23:33:13
ocaml-cpdf-2.8.1-r0.apk
4.79MB
2025-05-08 14:05:34
ocaml-fileutils-0.6.4-r2.apk
320.59KB
2024-10-25 23:33:16
ocaml-fileutils-dev-0.6.4-r2.apk
590.08KB
2024-10-25 23:33:16
ocaml-fileutils-doc-0.6.4-r2.apk
15.80KB
2024-10-25 23:33:16
ocaml-gettext-0.4.2-r3.apk
4.11MB
2024-10-25 23:33:16
ocaml-gettext-dev-0.4.2-r3.apk
716.18KB
2024-10-25 23:33:16
ocaml-gettext-doc-0.4.2-r3.apk
19.18KB
2024-10-25 23:33:16
ocaml-lambda-term-3.2.0-r4.apk
3.80MB
2024-10-25 23:33:19
ocaml-lambda-term-dev-3.2.0-r4.apk
3.81MB
2024-10-25 23:33:20
ocaml-lambda-term-doc-3.2.0-r4.apk
8.81KB
2024-10-25 23:33:20
ocaml-libvirt-0.6.1.7-r0.apk
178.01KB
2024-10-25 23:33:20
ocaml-libvirt-dev-0.6.1.7-r0.apk
90.87KB
2024-10-25 23:33:20
ocaml-libvirt-doc-0.6.1.7-r0.apk
13.73KB
2024-10-25 23:33:20
ocaml-mew-0.1.0-r3.apk
70.96KB
2024-10-25 23:33:21
ocaml-mew-dev-0.1.0-r3.apk
95.28KB
2024-10-25 23:33:21
ocaml-mew_vi-0.5.0-r3.apk
188.87KB
2024-10-25 23:33:21
ocaml-mew_vi-dev-0.5.0-r3.apk
294.68KB
2024-10-25 23:33:21
ocaml-mqtt-0.2.2-r0.apk
160.67KB
2024-10-25 23:33:21
ocaml-mqtt-dev-0.2.2-r0.apk
251.56KB
2024-10-25 23:33:21
ocaml-obuild-0.1.11-r0.apk
1.30MB
2024-10-25 23:33:21
ocaml-omake-0.10.6-r0.apk
1.98MB
2024-10-25 23:33:22
ocaml-omake-doc-0.10.6-r0.apk
8.04KB
2024-10-25 23:33:22
ocaml-ptmap-2.0.5-r3.apk
55.28KB
2024-10-25 23:33:24
ocaml-ptmap-dev-2.0.5-r3.apk
92.35KB
2024-10-25 23:33:24
ocaml-qcheck-0.18.1-r3.apk
691.36KB
2024-10-25 23:33:24
ocaml-qcheck-dev-0.18.1-r3.apk
1.32MB
2024-10-25 23:33:24
ocaml-qtest-2.11.2-r3.apk
389.42KB
2024-10-25 23:33:24
ocaml-qtest-dev-2.11.2-r3.apk
3.52KB
2024-10-25 23:33:24
ocaml-reason-3.8.2-r2.apk
15.86MB
2025-10-25 07:58:21
ocaml-reason-dev-3.8.2-r2.apk
28.48MB
2025-10-25 07:58:23
ocaml-sha-1.15.4-r0.apk
79.97KB
2024-10-25 23:33:27
ocaml-sha-dev-1.15.4-r0.apk
250.85KB
2024-10-25 23:33:27
ocaml-trie-1.0.0-r2.apk
15.92KB
2024-10-25 23:33:28
ocaml-trie-dev-1.0.0-r2.apk
25.07KB
2024-10-25 23:33:28
ocaml-utop-2.9.1-r4.apk
349.23KB
2024-10-25 23:33:29
ocaml-utop-dev-2.9.1-r4.apk
762.78KB
2024-10-25 23:33:29
ocaml-zed-3.1.0-r3.apk
533.47KB
2024-10-25 23:33:31
ocaml-zed-dev-3.1.0-r3.apk
1.11MB
2024-10-25 23:33:31
ocfs2-tools-1.8.7-r4.apk
1.24MB
2024-10-25 23:33:32
ocfs2-tools-dev-1.8.7-r4.apk
44.95KB
2024-10-25 23:33:32
ocfs2-tools-doc-1.8.7-r4.apk
69.35KB
2024-10-25 23:33:32
octoprint-1.10.3-r0.apk
3.03MB
2024-12-26 00:04:10
octoprint-creality2xfix-0.0.4-r2.apk
4.71KB
2024-10-25 23:33:33
octoprint-creality2xfix-pyc-0.0.4-r2.apk
3.33KB
2024-10-25 23:33:33
octoprint-filecheck-2024.11.12-r0.apk
28.00KB
2025-07-12 18:35:19
octoprint-filecheck-pyc-2024.11.12-r0.apk
11.63KB
2025-07-12 18:35:19
octoprint-firmwarecheck-2021.10.11-r2.apk
29.31KB
2024-10-25 23:33:33
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
17.59KB
2024-10-25 23:33:33
octoprint-openrc-1.10.3-r0.apk
1.71KB
2024-12-26 00:04:10
octoprint-pisupport-2023.10.10-r1.apk
30.84KB
2024-10-25 23:33:33
octoprint-pisupport-pyc-2023.10.10-r1.apk
12.81KB
2024-10-25 23:33:33
octoprint-pyc-1.10.3-r0.apk
1.24MB
2024-12-26 00:04:10
oil-0.21.0-r0.apk
1.53MB
2024-10-25 23:33:33
oil-doc-0.21.0-r0.apk
6.99KB
2024-10-25 23:33:33
oils-for-unix-0.35.0-r0.apk
692.40KB
2025-09-29 22:34:34
oils-for-unix-bash-0.35.0-r0.apk
1.68KB
2025-09-29 22:34:34
oils-for-unix-binsh-0.35.0-r0.apk
1.53KB
2025-09-29 22:34:34
oils-for-unix-doc-0.35.0-r0.apk
7.02KB
2025-09-29 22:34:34
ol-2.6-r0.apk
1.04MB
2025-05-01 09:21:29
ol-dev-2.6-r0.apk
15.84KB
2025-05-01 09:21:29
ol-doc-2.6-r0.apk
2.59KB
2025-05-01 09:21:29
olsrd-0.9.8-r3.apk
170.92KB
2024-10-25 23:33:33
olsrd-doc-0.9.8-r3.apk
25.07KB
2024-10-25 23:33:33
olsrd-openrc-0.9.8-r3.apk
1.88KB
2024-10-25 23:33:33
olsrd-plugins-0.9.8-r3.apk
184.10KB
2024-10-25 23:33:33
onionshare-2.6.3-r1.apk
179.65KB
2025-11-14 20:02:15
onionshare-desktop-2.6.3-r1.apk
1.30MB
2025-11-14 20:02:15
onionshare-pyc-2.6.3-r1.apk
240.48KB
2025-11-14 20:02:15
opcr-policy-0.3.1-r1.apk
10.21MB
2025-12-05 00:38:22
openapi-tui-0.9.4-r1.apk
4.24MB
2024-10-25 23:33:34
openapi-validator-1.19.2-r0.apk
9.94MB
2024-10-25 23:33:34
opendht-3.1.11-r0.apk
191.20KB
2025-01-27 22:56:44
opendht-dev-3.1.11-r0.apk
70.89KB
2025-01-27 22:56:44
opendht-doc-3.1.11-r0.apk
3.03KB
2025-01-27 22:56:44
opendht-libs-3.1.11-r0.apk
601.83KB
2025-01-27 22:56:44
openfire-4.8.1-r1.apk
45.77MB
2024-12-03 16:37:58
openfire-doc-4.8.1-r1.apk
3.78MB
2024-12-03 16:37:58
openfire-openrc-4.8.1-r1.apk
1.92KB
2024-12-03 16:37:58
openfire-plugins-4.8.1-r1.apk
72.35KB
2024-12-03 16:37:58
openfortivpn-1.22.1-r0.apk
42.15KB
2024-12-11 23:41:01
openfortivpn-doc-1.22.1-r0.apk
6.30KB
2024-12-11 23:41:01
openfpgaloader-0.11.0-r0.apk
1.91MB
2024-10-25 23:33:35
openocd-esp32-0_git20250707-r2.apk
2.06MB
2025-10-20 22:45:28
openocd-esp32-dev-0_git20250707-r2.apk
3.66KB
2025-10-20 22:45:28
openocd-esp32-doc-0_git20250707-r2.apk
3.21KB
2025-10-20 22:45:28
openocd-esp32-udev-0_git20250707-r2.apk
3.50KB
2025-10-20 22:45:28
openocd-git-0_git20251018-r1.apk
1.79MB
2025-10-20 22:45:28
openocd-git-cmd-openocd-0_git20251018-r1.apk
1.57KB
2025-10-20 22:45:28
openocd-git-dbg-0_git20251018-r1.apk
4.30MB
2025-10-20 22:45:29
openocd-git-dev-0_git20251018-r1.apk
3.34KB
2025-10-20 22:45:29
openocd-git-doc-0_git20251018-r1.apk
3.22KB
2025-10-20 22:45:29
openocd-git-udev-0_git20251018-r1.apk
3.54KB
2025-10-20 22:45:29
openocd-riscv-0_git20230104-r2.apk
1.58MB
2024-10-25 23:34:05
openocd-riscv-dev-0_git20230104-r2.apk
3.73KB
2024-10-25 23:34:05
openocd-riscv-doc-0_git20230104-r2.apk
3.29KB
2024-10-25 23:34:05
openocd-riscv-udev-rules-0_git20230104-r2.apk
3.28KB
2024-10-25 23:34:05
openrdap-0.9.1-r0.apk
3.34MB
2025-06-24 18:50:34
openrdap-doc-0.9.1-r0.apk
2.23KB
2025-06-24 18:50:34
openscap-daemon-0.1.10-r9.apk
60.16KB
2024-10-25 23:34:05
openscap-daemon-doc-0.1.10-r9.apk
17.54KB
2024-10-25 23:34:05
openscap-daemon-pyc-0.1.10-r9.apk
101.87KB
2024-10-25 23:34:05
openswitcher-0.5.0-r4.apk
148.40KB
2024-10-25 23:34:06
openswitcher-proxy-0.5.0-r4.apk
9.38KB
2024-10-25 23:34:06
openswitcher-proxy-openrc-0.5.0-r4.apk
2.03KB
2024-10-25 23:34:06
opentelemetry-cpp-1.24.0-r0.apk
652.86KB
2025-11-22 15:34:30
opentelemetry-cpp-dev-1.24.0-r0.apk
521.28KB
2025-11-22 15:34:30
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
47.94KB
2025-11-22 15:34:30
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
62.23KB
2025-11-22 15:34:30
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
92.06KB
2025-11-22 15:34:30
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
51.90KB
2025-11-22 15:34:30
openterface-qt-0.5.7-r0.apk
1.06MB
2025-12-22 07:33:20
openwsman-2.8.1-r1.apk
50.14KB
2025-06-30 10:03:59
openwsman-dev-2.8.1-r1.apk
55.71KB
2025-06-30 10:03:59
openwsman-doc-2.8.1-r1.apk
2.40KB
2025-06-30 10:03:59
openwsman-libs-2.8.1-r1.apk
346.15KB
2025-06-30 10:03:59
opkg-0.7.0-r0.apk
10.44KB
2024-10-25 23:34:07
opkg-dev-0.7.0-r0.apk
112.10KB
2024-10-25 23:34:07
opkg-doc-0.7.0-r0.apk
7.65KB
2024-10-25 23:34:07
opkg-libs-0.7.0-r0.apk
84.34KB
2024-10-25 23:34:07
opkg-utils-0.7.0-r0.apk
25.20KB
2024-10-25 23:34:07
opkg-utils-doc-0.7.0-r0.apk
3.62KB
2024-10-25 23:34:07
opmsg-1.84-r1.apk
259.13KB
2024-10-25 23:34:07
oppa-1.1.0-r0.apk
545.06KB
2025-10-12 17:31:28
orage-4.20.1-r0.apk
586.28KB
2025-04-08 14:49:52
orage-lang-4.20.1-r0.apk
1.21MB
2025-04-08 14:49:52
organicmaps-2025.09.05.1-r0.apk
127.02MB
2025-10-20 13:38:20
osmctools-0.9-r0.apk
127.65KB
2024-10-25 23:34:07
ostui-1.0.4-r0.apk
4.90MB
2025-09-11 05:50:34
ostui-doc-1.0.4-r0.apk
27.94KB
2025-09-11 05:50:34
otf-atkinson-hyperlegible-2020.0514-r1.apk
101.62KB
2024-10-25 23:34:07
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
47.69KB
2024-10-25 23:34:07
otpclient-4.1.1-r0.apk
116.75KB
2025-07-23 01:44:51
otpclient-doc-4.1.1-r0.apk
3.65KB
2025-07-23 01:44:51
otrs-6.0.48-r2.apk
28.68MB
2024-10-25 23:34:09
otrs-apache2-6.0.48-r2.apk
4.03KB
2024-10-25 23:34:09
otrs-bash-completion-6.0.48-r2.apk
2.41KB
2024-10-25 23:34:09
otrs-dev-6.0.48-r2.apk
3.87MB
2024-10-25 23:34:10
otrs-doc-6.0.48-r2.apk
795.14KB
2024-10-25 23:34:10
otrs-fastcgi-6.0.48-r2.apk
1.77KB
2024-10-25 23:34:10
otrs-nginx-6.0.48-r2.apk
1.79KB
2024-10-25 23:34:10
otrs-openrc-6.0.48-r2.apk
1.89KB
2024-10-25 23:34:10
otrs-setup-6.0.48-r2.apk
107.34KB
2024-10-25 23:34:10
ouch-0.6.1-r0.apk
1.78MB
2025-05-28 10:37:40
ouch-bash-completion-0.6.1-r0.apk
2.50KB
2025-05-28 10:37:40
ouch-doc-0.6.1-r0.apk
3.82KB
2025-05-28 10:37:40
ouch-fish-completion-0.6.1-r0.apk
3.03KB
2025-05-28 10:37:40
ouch-zsh-completion-0.6.1-r0.apk
3.13KB
2025-05-28 10:37:40
ovhcloud-cli-0.9.0-r0.apk
7.50MB
2025-12-14 12:05:30
ovn-25.09.2-r0.apk
2.14MB
2025-12-15 00:05:44
ovn-dbg-25.09.2-r0.apk
6.70MB
2025-12-15 00:05:45
ovn-dev-25.09.2-r0.apk
1.97MB
2025-12-15 00:05:45
ovn-doc-25.09.2-r0.apk
263.70KB
2025-12-15 00:05:45
ovn-openrc-25.09.2-r0.apk
2.40KB
2025-12-15 00:05:45
ovos-audio-1.0.1-r0.apk
136.28KB
2025-07-19 09:12:53
ovos-audio-pyc-1.0.1-r0.apk
35.83KB
2025-07-19 09:12:53
ovos-core-2.1.0-r0.apk
49.00KB
2025-11-02 16:52:27
ovos-core-pyc-2.1.0-r0.apk
58.31KB
2025-11-02 16:52:27
ovos-gui-1.3.3-r0.apk
37.85KB
2025-07-15 23:02:42
ovos-gui-pyc-1.3.3-r0.apk
38.44KB
2025-07-15 23:02:42
ovos-messagebus-0.0.10-r0.apk
9.95KB
2025-04-08 10:44:02
ovos-messagebus-pyc-0.0.10-r0.apk
6.65KB
2025-04-08 10:44:02
ovos-phal-0.2.10-r0.apk
10.48KB
2025-07-15 22:29:32
ovos-phal-pyc-0.2.10-r0.apk
7.24KB
2025-07-15 22:29:32
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
45.62KB
2024-10-25 23:34:13
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
3.94KB
2024-10-25 23:34:13
ovpncc-0.1_rc1-r0.apk
11.95KB
2024-10-25 23:34:13
ovpncc-doc-0.1_rc1-r0.apk
6.52KB
2024-10-25 23:34:13
oxygen-icons-6.1.0-r0.apk
31.60MB
2024-10-25 23:34:15
p0f-3.09b-r3.apk
85.32KB
2024-10-25 23:34:15
p0f-doc-3.09b-r3.apk
25.26KB
2024-10-25 23:34:15
p910nd-0.97-r2.apk
7.66KB
2024-10-25 23:34:15
p910nd-doc-0.97-r2.apk
2.98KB
2024-10-25 23:34:15
p910nd-openrc-0.97-r2.apk
1.84KB
2024-10-25 23:34:15
packwiz-0_git20251102-r1.apk
4.84MB
2025-12-05 00:38:22
packwiz-doc-0_git20251102-r1.apk
2.26KB
2025-12-05 00:38:22
pacparser-1.4.5-r1.apk
792.37KB
2024-10-25 23:34:15
pacparser-dev-1.4.5-r1.apk
3.60KB
2024-10-25 23:34:15
pacparser-doc-1.4.5-r1.apk
17.75KB
2024-10-25 23:34:15
pam-krb5-4.11-r1.apk
23.75KB
2024-10-25 23:34:15
pam-krb5-doc-4.11-r1.apk
23.62KB
2024-10-25 23:34:15
pam-pkcs11-0.6.13-r1.apk
268.19KB
2025-11-17 14:54:40
pam-pkcs11-doc-0.6.13-r1.apk
14.21KB
2025-11-17 14:54:40
pam-pkcs11-systemd-0.6.13-r1.apk
1.76KB
2025-11-17 14:54:40
pam_sqlite3-1.0.2-r2.apk
8.84KB
2024-10-25 23:34:15
pamtester-0.1.2-r4.apk
9.32KB
2024-10-25 23:34:15
pamtester-doc-0.1.2-r4.apk
2.88KB
2024-10-25 23:34:15
pantalaimon-0.10.5-r4.apk
44.76KB
2024-10-25 23:34:15
pantalaimon-doc-0.10.5-r4.apk
6.38KB
2024-10-25 23:34:15
pantalaimon-pyc-0.10.5-r4.apk
82.92KB
2024-10-25 23:34:15
pantalaimon-ui-0.10.5-r4.apk
1.72KB
2024-10-25 23:34:15
paperde-0.3.0-r2.apk
630.34KB
2025-08-21 11:03:24
paperde-dev-0.3.0-r2.apk
4.97KB
2025-08-21 11:03:24
paperkey-1.6-r2.apk
16.13KB
2024-10-25 23:34:15
paperkey-doc-1.6-r2.apk
4.51KB
2024-10-25 23:34:15
paprefs-1.2-r2.apk
30.78KB
2024-11-23 01:40:15
paprefs-lang-1.2-r2.apk
37.89KB
2024-11-23 01:40:15
par-1.53.0-r1.apk
14.72KB
2024-10-25 23:34:15
par-doc-1.53.0-r1.apk
30.33KB
2024-10-25 23:34:15
par2cmdline-turbo-1.3.0-r0.apk
182.42KB
2025-05-08 14:56:34
par2cmdline-turbo-doc-1.3.0-r0.apk
5.91KB
2025-05-08 14:56:34
parcellite-1.2.5-r0.apk
229.44KB
2024-10-25 23:34:15
parcellite-doc-1.2.5-r0.apk
25.23KB
2024-10-25 23:34:15
parcellite-lang-1.2.5-r0.apk
48.78KB
2024-10-25 23:34:15
parpar-0.4.5-r0.apk
1.56MB
2025-10-13 00:03:20
parse-changelog-0.6.12-r0.apk
584.98KB
2025-05-19 01:17:12
pash-2.3.0-r2.apk
4.28KB
2024-10-25 23:34:15
pasystray-0.8.2-r0.apk
47.77KB
2024-10-25 23:34:15
pasystray-doc-0.8.2-r0.apk
3.33KB
2024-10-25 23:34:15
pathvector-6.3.2-r18.apk
4.07MB
2025-12-05 00:38:22
pdf2svg-0.2.4-r0.apk
4.78KB
2025-09-29 22:34:34
pdfcrack-0.21-r0.apk
43.07KB
2025-11-19 10:08:53
pebble-le-0.3.0-r2.apk
66.75KB
2024-12-14 21:04:23
pebble-le-dev-0.3.0-r2.apk
40.52KB
2024-12-14 21:04:23
pebble-le-doc-0.3.0-r2.apk
3.68KB
2024-12-14 21:04:23
peervpn-0.044-r5.apk
41.21KB
2024-10-25 23:34:16
peervpn-openrc-0.044-r5.apk
1.78KB
2024-10-25 23:34:16
peg-0.1.18-r1.apk
34.69KB
2024-10-25 23:34:16
peg-doc-0.1.18-r1.apk
13.67KB
2024-10-25 23:34:16
pegasus-frontend-16_alpha-r0.apk
1.26MB
2024-10-25 23:34:16
pegasus-frontend-doc-16_alpha-r0.apk
16.23KB
2024-10-25 23:34:16
percona-toolkit-3.5.4-r1.apk
1.78MB
2024-10-25 23:34:16
percona-toolkit-doc-3.5.4-r1.apk
297.52KB
2024-10-25 23:34:16
perl-adapter-async-0.019-r0.apk
8.13KB
2024-10-25 23:34:16
perl-adapter-async-doc-0.019-r0.apk
16.77KB
2024-10-25 23:34:16
perl-algorithm-backoff-0.010-r0.apk
9.58KB
2024-10-25 23:34:16
perl-algorithm-backoff-doc-0.010-r0.apk
29.47KB
2024-10-25 23:34:16
perl-algorithm-c3-0.11-r1.apk
5.66KB
2024-10-25 23:34:16
perl-algorithm-c3-doc-0.11-r1.apk
5.10KB
2024-10-25 23:34:16
perl-algorithm-cron-0.10-r4.apk
6.11KB
2024-10-25 23:34:16
perl-algorithm-cron-doc-0.10-r4.apk
4.60KB
2024-10-25 23:34:16
perl-algorithm-evolutionary-0.82.1-r0.apk
78.74KB
2024-10-25 23:34:16
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
147.73KB
2024-10-25 23:34:16
perl-algorithm-permute-0.17-r1.apk
12.88KB
2025-06-30 10:03:59
perl-algorithm-permute-doc-0.17-r1.apk
5.11KB
2025-06-30 10:03:59
perl-aliased-0.34-r4.apk
5.66KB
2024-10-25 23:34:16
perl-aliased-doc-0.34-r4.apk
5.67KB
2024-10-25 23:34:16
perl-alien-base-modulebuild-1.17-r0.apk
21.74KB
2025-06-08 19:40:58
perl-alien-base-modulebuild-doc-1.17-r0.apk
53.42KB
2025-06-08 19:40:58
perl-alien-libgumbo-0.05-r1.apk
637.80KB
2025-06-30 10:03:59
perl-alien-libgumbo-doc-0.05-r1.apk
4.82KB
2025-06-30 10:03:59
perl-anyevent-dbus-0.31-r0.apk
3.42KB
2025-12-13 09:25:48
perl-anyevent-dbus-doc-0.31-r0.apk
3.76KB
2025-12-13 09:25:48
perl-anyevent-dns-etchosts-0.0105-r0.apk
5.11KB
2024-10-25 23:34:16
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
3.98KB
2024-10-25 23:34:16
perl-anyevent-riperedis-0.48-r0.apk
12.39KB
2024-10-25 23:34:16
perl-anyevent-riperedis-doc-0.48-r0.apk
10.31KB
2024-10-25 23:34:16
perl-archive-any-lite-0.11-r0.apk
4.12KB
2025-07-11 11:46:44
perl-archive-any-lite-doc-0.11-r0.apk
3.69KB
2025-07-11 11:46:44
perl-archive-extract-0.88-r1.apk
15.75KB
2024-10-25 23:34:16
perl-archive-extract-doc-0.88-r1.apk
6.83KB
2024-10-25 23:34:16
perl-array-diff-0.09-r0.apk
3.33KB
2025-07-10 22:45:27
perl-array-diff-doc-0.09-r0.apk
3.88KB
2025-07-10 22:45:27
perl-asa-1.04-r0.apk
4.32KB
2025-06-11 19:35:14
perl-asa-doc-1.04-r0.apk
5.00KB
2025-06-11 19:35:14
perl-astro-0.78-r0.apk
31.97KB
2025-07-21 11:41:15
perl-astro-coords-0.23-r0.apk
52.59KB
2025-10-08 23:44:43
perl-astro-coords-doc-0.23-r0.apk
40.34KB
2025-10-08 23:44:43
perl-astro-doc-0.78-r0.apk
13.83KB
2025-07-21 11:41:15
perl-astro-montenbruck-1.26-r0.apk
53.43KB
2025-07-21 11:41:15
perl-astro-montenbruck-doc-1.26-r0.apk
59.69KB
2025-07-21 11:41:15
perl-astro-pal-1.09-r0.apk
222.39KB
2025-07-21 11:41:15
perl-astro-pal-doc-1.09-r0.apk
7.36KB
2025-07-21 11:41:15
perl-astro-satpass-0.134-r0.apk
218.11KB
2025-09-18 20:17:12
perl-astro-satpass-doc-0.134-r0.apk
132.88KB
2025-09-18 20:17:12
perl-astro-telescope-0.71-r0.apk
48.34KB
2025-07-21 11:41:15
perl-astro-telescope-doc-0.71-r0.apk
5.00KB
2025-07-21 11:41:15
perl-autobox-3.0.2-r1.apk
18.59KB
2025-06-30 10:03:59
perl-autobox-doc-3.0.2-r1.apk
8.90KB
2025-06-30 10:03:59
perl-b-hooks-op-check-0.22-r1.apk
6.66KB
2025-06-30 10:03:59
perl-b-hooks-op-check-doc-0.22-r1.apk
3.77KB
2025-06-30 10:03:59
perl-b-utils-0.27-r1.apk
19.48KB
2025-06-30 10:03:59
perl-b-utils-doc-0.27-r1.apk
9.51KB
2025-06-30 10:03:59
perl-badger-0.16-r1.apk
253.12KB
2025-11-12 01:14:18
perl-badger-doc-0.16-r1.apk
262.76KB
2025-11-12 01:14:19
perl-barcode-zbar-0.10-r4.apk
32.89KB
2025-06-30 10:03:59
perl-barcode-zbar-doc-0.10-r4.apk
12.68KB
2025-06-30 10:03:59
perl-bareword-filehandles-0.007-r1.apk
6.14KB
2025-06-30 10:03:59
perl-bareword-filehandles-doc-0.007-r1.apk
3.24KB
2025-06-30 10:03:59
perl-bind-config-parser-0.01-r5.apk
3.87KB
2024-10-25 23:34:16
perl-bind-config-parser-doc-0.01-r5.apk
3.62KB
2024-10-25 23:34:16
perl-bsd-resource-1.2911-r11.apk
18.23KB
2025-06-30 10:03:59
perl-bsd-resource-doc-1.2911-r11.apk
7.93KB
2025-06-30 10:03:59
perl-bytes-random-secure-0.29-r0.apk
14.32KB
2024-10-25 23:34:16
perl-bytes-random-secure-doc-0.29-r0.apk
12.16KB
2024-10-25 23:34:16
perl-cache-lru-0.04-r0.apk
2.97KB
2024-10-25 23:34:16
perl-cache-lru-doc-0.04-r0.apk
3.22KB
2024-10-25 23:34:16
perl-carp-assert-more-2.9.0-r0.apk
8.97KB
2025-04-15 04:17:29
perl-carp-assert-more-doc-2.9.0-r0.apk
7.79KB
2025-04-15 04:17:29
perl-carp-repl-0.18-r0.apk
5.96KB
2025-04-26 20:12:36
perl-carp-repl-doc-0.18-r0.apk
5.87KB
2025-04-26 20:12:36
perl-catalyst-action-renderview-0.17-r0.apk
3.80KB
2025-03-19 16:53:20
perl-catalyst-action-renderview-doc-0.17-r0.apk
4.04KB
2025-03-19 16:53:20
perl-catalyst-action-rest-1.21-r0.apk
25.40KB
2025-03-31 17:53:48
perl-catalyst-action-rest-doc-1.21-r0.apk
27.07KB
2025-03-31 17:53:48
perl-catalyst-actionrole-acl-0.07-r0.apk
4.33KB
2025-05-07 10:38:39
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
5.74KB
2025-05-07 10:38:39
perl-catalyst-authentication-credential-http-1.018-r0.apk
8.61KB
2025-04-04 08:38:51
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
6.76KB
2025-04-04 08:38:51
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
13.32KB
2025-06-11 19:36:10
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
13.87KB
2025-06-11 19:36:10
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2.72KB
2025-06-19 13:55:15
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
3.29KB
2025-06-19 13:55:15
perl-catalyst-controller-actionrole-0.17-r0.apk
4.98KB
2025-04-04 08:39:34
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
4.45KB
2025-04-04 08:39:34
perl-catalyst-devel-1.42-r0.apk
54.07KB
2025-03-20 18:50:23
perl-catalyst-devel-doc-1.42-r0.apk
11.38KB
2025-03-20 18:50:23
perl-catalyst-manual-5.9013-r0.apk
2.68KB
2025-04-04 08:22:29
perl-catalyst-manual-doc-5.9013-r0.apk
375.13KB
2025-04-04 08:22:29
perl-catalyst-model-adaptor-0.10-r0.apk
6.48KB
2025-04-15 04:17:29
perl-catalyst-model-adaptor-doc-0.10-r0.apk
12.11KB
2025-04-15 04:17:29
perl-catalyst-model-dbic-schema-0.66-r0.apk
19.12KB
2025-06-11 19:36:10
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
17.91KB
2025-06-11 19:36:10
perl-catalyst-plugin-authentication-0.10024-r0.apk
32.22KB
2025-03-29 10:34:15
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
55.90KB
2025-03-29 10:34:15
perl-catalyst-plugin-configloader-0.35-r0.apk
5.39KB
2025-03-20 18:50:23
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
10.32KB
2025-03-20 18:50:23
perl-catalyst-plugin-i18n-0.10-r0.apk
4.12KB
2025-04-04 11:55:50
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
13.28KB
2025-04-04 11:55:50
perl-catalyst-plugin-session-0.43-r0.apk
14.43KB
2025-04-04 14:57:07
perl-catalyst-plugin-session-doc-0.43-r0.apk
25.14KB
2025-04-04 14:57:07
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
4.85KB
2025-04-20 07:32:50
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
4.85KB
2025-04-20 07:32:50
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
5.88KB
2025-06-13 21:18:11
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
6.43KB
2025-06-13 21:18:11
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
4.48KB
2025-06-13 21:18:11
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
4.53KB
2025-06-13 21:18:11
perl-catalyst-plugin-session-store-file-0.18-r0.apk
3.65KB
2025-06-14 05:46:59
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
4.01KB
2025-06-14 05:46:59
perl-catalyst-plugin-stacktrace-0.12-r0.apk
4.67KB
2025-06-14 05:46:59
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
3.88KB
2025-06-14 05:46:59
perl-catalyst-plugin-static-simple-0.37-r0.apk
8.69KB
2025-03-20 18:50:23
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
7.41KB
2025-03-20 18:50:23
perl-catalyst-runtime-5.90132-r0.apk
149.69KB
2025-03-19 15:13:24
perl-catalyst-runtime-doc-5.90132-r0.apk
216.34KB
2025-03-19 15:13:24
perl-catalyst-view-email-0.36-r0.apk
9.08KB
2025-04-04 11:55:50
perl-catalyst-view-email-doc-0.36-r0.apk
10.76KB
2025-04-04 11:55:50
perl-catalyst-view-tt-0.46-r0.apk
13.62KB
2025-04-15 04:17:29
perl-catalyst-view-tt-doc-0.46-r0.apk
12.70KB
2025-04-15 04:17:29
perl-catalystx-component-traits-0.19-r0.apk
4.32KB
2025-05-07 10:38:39
perl-catalystx-component-traits-doc-0.19-r0.apk
4.00KB
2025-05-07 10:38:39
perl-catalystx-injectcomponent-0.025-r0.apk
3.42KB
2025-05-01 09:30:55
perl-catalystx-injectcomponent-doc-0.025-r0.apk
3.58KB
2025-05-01 09:30:55
perl-catalystx-leakchecker-0.06-r0.apk
3.38KB
2025-06-15 07:46:54
perl-catalystx-leakchecker-doc-0.06-r0.apk
3.52KB
2025-06-15 07:46:54
perl-catalystx-profile-0.02-r0.apk
3.07KB
2025-06-15 07:46:54
perl-catalystx-profile-doc-0.02-r0.apk
4.54KB
2025-06-15 07:46:54
perl-catalystx-repl-0.04-r0.apk
3.30KB
2025-04-27 18:07:28
perl-catalystx-repl-doc-0.04-r0.apk
3.62KB
2025-04-27 18:07:28
perl-catalystx-simplelogin-0.21-r0.apk
10.69KB
2025-05-07 10:38:39
perl-catalystx-simplelogin-doc-0.21-r0.apk
24.19KB
2025-05-07 10:38:39
perl-cgi-expand-2.05-r4.apk
6.87KB
2024-10-25 23:34:16
perl-cgi-expand-doc-2.05-r4.apk
6.16KB
2024-10-25 23:34:16
perl-cgi-simple-1.282-r0.apk
55.63KB
2025-08-30 07:17:33
perl-cgi-simple-doc-1.282-r0.apk
42.73KB
2025-08-30 07:17:33
perl-cgi-struct-1.21-r0.apk
7.83KB
2025-03-19 15:13:24
perl-cgi-struct-doc-1.21-r0.apk
6.78KB
2025-03-19 15:13:24
perl-check-unitcheck-0.13-r2.apk
6.07KB
2025-06-30 10:03:59
perl-check-unitcheck-doc-0.13-r2.apk
3.61KB
2025-06-30 10:03:59
perl-class-accessor-grouped-0.10014-r2.apk
12.02KB
2024-10-25 23:34:16
perl-class-accessor-grouped-doc-0.10014-r2.apk
7.48KB
2024-10-25 23:34:16
perl-class-c3-0.35-r1.apk
9.46KB
2024-10-25 23:34:16
perl-class-c3-adopt-next-0.14-r0.apk
5.08KB
2025-03-19 15:13:24
perl-class-c3-adopt-next-doc-0.14-r0.apk
4.68KB
2025-03-19 15:13:24
perl-class-c3-componentised-1.001002-r2.apk
5.53KB
2024-10-25 23:34:16
perl-class-c3-componentised-doc-1.001002-r2.apk
5.34KB
2024-10-25 23:34:16
perl-class-c3-doc-0.35-r1.apk
9.29KB
2024-10-25 23:34:16
perl-class-unload-0.11-r0.apk
2.59KB
2025-06-04 20:22:14
perl-class-unload-doc-0.11-r0.apk
3.07KB
2025-06-04 20:22:14
perl-cli-osprey-0.08-r0.apk
12.75KB
2024-12-28 06:54:06
perl-cli-osprey-doc-0.08-r0.apk
12.05KB
2024-12-28 06:54:06
perl-clipboard-0.32-r1.apk
10.42KB
2025-10-13 19:21:35
perl-clipboard-doc-0.32-r1.apk
26.87KB
2025-10-13 19:21:35
perl-color-ansi-util-0.165-r0.apk
7.28KB
2024-10-25 23:34:16
perl-color-ansi-util-doc-0.165-r0.apk
5.16KB
2024-10-25 23:34:16
perl-color-rgb-util-0.609-r0.apk
9.46KB
2025-03-19 15:13:24
perl-color-rgb-util-doc-0.609-r0.apk
7.36KB
2025-03-19 15:13:24
perl-conf-libconfig-1.0.3-r2.apk
26.14KB
2025-06-30 10:03:59
perl-conf-libconfig-doc-1.0.3-r2.apk
5.50KB
2025-06-30 10:03:59
perl-constant-defer-6-r5.apk
7.40KB
2024-10-25 23:34:16
perl-constant-defer-doc-6-r5.apk
6.95KB
2024-10-25 23:34:16
perl-constant-generate-0.17-r5.apk
8.75KB
2024-10-25 23:34:16
perl-constant-generate-doc-0.17-r5.apk
7.05KB
2024-10-25 23:34:16
perl-context-preserve-0.03-r4.apk
3.87KB
2024-10-25 23:34:16
perl-context-preserve-doc-0.03-r4.apk
4.22KB
2024-10-25 23:34:16
perl-cpan-audit-20250829.001-r0.apk
13.51KB
2025-09-05 09:55:49
perl-cpan-audit-doc-20250829.001-r0.apk
12.36KB
2025-09-05 09:55:49
perl-cpan-changes-0.500005-r0.apk
13.40KB
2025-08-16 17:43:24
perl-cpan-changes-doc-0.500005-r0.apk
18.34KB
2025-08-16 17:43:24
perl-cpansa-db-20250807.001-r0.apk
1.29MB
2025-09-01 16:16:16
perl-cpansa-db-doc-20250807.001-r0.apk
5.57KB
2025-09-01 16:16:16
perl-crypt-blowfish-2.14-r1.apk
12.42KB
2025-06-30 10:03:59
perl-crypt-blowfish-doc-2.14-r1.apk
4.07KB
2025-06-30 10:03:59
perl-crypt-random-seed-0.03-r0.apk
11.25KB
2024-10-25 23:34:16
perl-crypt-random-seed-doc-0.03-r0.apk
8.81KB
2024-10-25 23:34:16
perl-crypt-saltedhash-0.09-r5.apk
6.95KB
2024-10-25 23:34:16
perl-crypt-saltedhash-doc-0.09-r5.apk
6.37KB
2024-10-25 23:34:16
perl-css-inliner-4027-r0.apk
15.83KB
2025-06-16 14:51:05
perl-css-inliner-doc-4027-r0.apk
9.44KB
2025-06-16 14:51:05
perl-css-object-0.2.0-r0.apk
22.76KB
2024-10-25 23:34:16
perl-css-object-doc-0.2.0-r0.apk
32.56KB
2024-10-25 23:34:16
perl-daemon-control-0.001010-r2.apk
12.38KB
2024-10-25 23:34:16
perl-daemon-control-doc-0.001010-r2.apk
8.26KB
2024-10-25 23:34:16
perl-dancer-plugin-auth-extensible-1.00-r5.apk
15.31KB
2024-10-25 23:34:16
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
14.82KB
2024-10-25 23:34:16
perl-dancer-plugin-dbic-0.2104-r5.apk
4.93KB
2024-10-25 23:34:16
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
5.36KB
2024-10-25 23:34:16
perl-dancer-plugin-passphrase-2.0.1-r4.apk
9.70KB
2024-10-25 23:34:16
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
8.46KB
2024-10-25 23:34:16
perl-dancer-session-cookie-0.30-r2.apk
5.45KB
2024-10-25 23:34:16
perl-dancer-session-cookie-doc-0.30-r2.apk
4.18KB
2024-10-25 23:34:16
perl-dancer2-1.1.2-r0.apk
163.04KB
2024-12-28 06:54:06
perl-dancer2-doc-1.1.2-r0.apk
300.77KB
2024-12-28 06:54:06
perl-data-binary-0.01-r0.apk
2.77KB
2025-07-10 22:45:27
perl-data-binary-doc-0.01-r0.apk
3.09KB
2025-07-10 22:45:27
perl-data-checks-0.11-r0.apk
23.01KB
2025-11-26 10:27:06
perl-data-checks-doc-0.11-r0.apk
8.48KB
2025-11-26 10:27:06
perl-data-clone-0.006-r1.apk
10.27KB
2025-06-30 10:03:59
perl-data-clone-doc-0.006-r1.apk
4.54KB
2025-06-30 10:03:59
perl-data-dump-streamer-2.42-r1.apk
51.14KB
2025-06-30 10:03:59
perl-data-dump-streamer-doc-2.42-r1.apk
17.26KB
2025-06-30 10:03:59
perl-data-section-0.200008-r0.apk
6.42KB
2025-07-07 20:02:58
perl-data-section-doc-0.200008-r0.apk
5.62KB
2025-07-07 20:02:58
perl-data-validate-domain-0.15-r0.apk
5.84KB
2024-10-25 23:34:16
perl-data-validate-domain-doc-0.15-r0.apk
5.48KB
2024-10-25 23:34:16
perl-data-validate-ip-0.31-r1.apk
8.83KB
2024-10-25 23:34:16
perl-data-validate-ip-doc-0.31-r1.apk
5.86KB
2024-10-25 23:34:16
perl-data-visitor-0.32-r0.apk
9.78KB
2025-03-19 16:53:20
perl-data-visitor-doc-0.32-r0.apk
8.31KB
2025-03-19 16:53:20
perl-database-async-0.019-r0.apk
22.81KB
2024-10-25 23:34:16
perl-database-async-doc-0.019-r0.apk
29.07KB
2024-10-25 23:34:16
perl-database-async-engine-postgresql-1.005-r0.apk
13.94KB
2024-10-25 23:34:16
perl-database-async-engine-postgresql-doc-1.005-r0.apk
9.32KB
2024-10-25 23:34:16
perl-date-range-1.41-r0.apk
3.75KB
2025-07-08 19:54:03
perl-date-range-doc-1.41-r0.apk
4.04KB
2025-07-08 19:54:03
perl-datetime-astro-1.04-r0.apk
36.31KB
2025-07-21 11:41:15
perl-datetime-astro-doc-1.04-r0.apk
6.01KB
2025-07-21 11:41:15
perl-datetime-format-atom-1.8.0-r0.apk
3.15KB
2025-01-05 17:08:19
perl-datetime-format-atom-doc-1.8.0-r0.apk
3.77KB
2025-01-05 17:08:19
perl-datetime-format-flexible-0.37-r0.apk
18.05KB
2024-12-31 15:26:10
perl-datetime-format-flexible-doc-0.37-r0.apk
12.12KB
2024-12-31 15:26:10
perl-datetime-format-rfc3339-1.10.0-r0.apk
4.39KB
2025-01-05 17:08:19
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
4.14KB
2025-01-05 17:08:19
perl-datetime-set-0.3900-r0.apk
19.92KB
2025-07-21 11:41:15
perl-datetime-set-doc-0.3900-r0.apk
17.85KB
2025-07-21 11:41:15
perl-datetime-timezone-alias-0.06-r0.apk
2.54KB
2024-10-25 23:34:16
perl-datetime-timezone-alias-doc-0.06-r0.apk
7.63KB
2024-10-25 23:34:16
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
11.81KB
2024-10-25 23:34:16
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
15.03KB
2024-10-25 23:34:16
perl-dbicx-sugar-0.0200-r5.apk
5.89KB
2024-10-25 23:34:16
perl-dbicx-sugar-doc-0.0200-r5.apk
5.34KB
2024-10-25 23:34:16
perl-dbix-class-0.082844-r0.apk
355.48KB
2025-01-16 19:26:52
perl-dbix-class-candy-0.005004-r0.apk
7.87KB
2024-10-30 07:59:53
perl-dbix-class-candy-doc-0.005004-r0.apk
9.48KB
2024-10-30 07:59:53
perl-dbix-class-cursor-cached-1.001004-r0.apk
3.21KB
2025-06-09 19:27:17
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
3.05KB
2025-06-09 19:27:17
perl-dbix-class-doc-0.082844-r0.apk
420.50KB
2025-01-16 19:26:52
perl-dbix-class-helpers-2.037000-r0.apk
47.72KB
2024-11-17 06:06:32
perl-dbix-class-helpers-doc-2.037000-r0.apk
121.36KB
2024-11-17 06:06:32
perl-dbix-class-schema-loader-0.07053-r0.apk
97.37KB
2025-06-09 19:27:17
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
77.33KB
2025-06-09 19:27:17
perl-dbix-connector-0.60-r0.apk
14.74KB
2024-12-30 08:37:19
perl-dbix-connector-doc-0.60-r0.apk
22.29KB
2024-12-30 08:37:19
perl-dbix-datasource-0.02-r5.apk
4.30KB
2024-10-25 23:34:16
perl-dbix-datasource-doc-0.02-r5.apk
7.54KB
2024-10-25 23:34:16
perl-dbix-introspector-0.001005-r4.apk
8.05KB
2024-10-25 23:34:16
perl-dbix-introspector-doc-0.001005-r4.apk
8.46KB
2024-10-25 23:34:16
perl-dbix-lite-0.36-r0.apk
18.01KB
2024-12-30 08:37:19
perl-dbix-lite-doc-0.36-r0.apk
17.52KB
2024-12-30 08:37:19
perl-devel-confess-0.009004-r0.apk
11.42KB
2024-10-25 23:34:16
perl-devel-confess-doc-0.009004-r0.apk
6.65KB
2024-10-25 23:34:16
perl-devel-leak-0.03-r14.apk
7.03KB
2025-06-30 10:03:59
perl-devel-leak-doc-0.03-r14.apk
3.35KB
2025-06-30 10:03:59
perl-devel-nytprof-6.14-r1.apk
396.82KB
2025-06-30 10:03:59
perl-devel-nytprof-doc-6.14-r1.apk
50.53KB
2025-06-30 10:03:59
perl-devel-refcount-0.10-r2.apk
6.14KB
2025-06-30 10:03:59
perl-devel-refcount-doc-0.10-r2.apk
4.30KB
2025-06-30 10:03:59
perl-devel-repl-1.003029-r0.apk
28.35KB
2025-04-24 17:50:16
perl-devel-repl-doc-1.003029-r0.apk
60.15KB
2025-04-24 17:50:16
perl-devel-stacktrace-withlexicals-2.01-r0.apk
3.66KB
2025-04-26 13:21:28
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
3.43KB
2025-04-26 13:21:28
perl-devel-trace-0.12-r0.apk
3.36KB
2025-07-06 22:08:08
perl-devel-trace-doc-0.12-r0.apk
3.47KB
2025-07-06 22:08:08
perl-digest-bcrypt-1.212-r1.apk
5.58KB
2024-10-25 23:34:16
perl-digest-bcrypt-doc-1.212-r1.apk
5.18KB
2024-10-25 23:34:16
perl-digest-crc-0.24-r2.apk
9.84KB
2025-06-30 10:03:59
perl-digest-crc-doc-0.24-r2.apk
3.29KB
2025-06-30 10:03:59
perl-dns-unbound-0.29-r2.apk
24.01KB
2025-06-30 10:03:59
perl-dns-unbound-anyevent-0.29-r2.apk
2.34KB
2025-06-30 10:03:59
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk
1.94KB
2025-06-30 10:03:59
perl-dns-unbound-doc-0.29-r2.apk
15.40KB
2025-06-30 10:03:59
perl-dns-unbound-ioasync-0.29-r2.apk
2.42KB
2025-06-30 10:03:59
perl-dns-unbound-mojo-0.29-r2.apk
2.65KB
2025-06-30 10:03:59
perl-email-abstract-3.010-r0.apk
7.65KB
2024-10-25 23:34:16
perl-email-abstract-doc-3.010-r0.apk
12.89KB
2024-10-25 23:34:16
perl-email-mime-attachment-stripper-1.317-r5.apk
3.97KB
2024-10-25 23:34:16
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
3.82KB
2024-10-25 23:34:16
perl-email-reply-1.204-r5.apk
6.14KB
2024-10-25 23:34:16
perl-email-reply-doc-1.204-r5.apk
4.81KB
2024-10-25 23:34:16
perl-email-sender-2.601-r0.apk
24.66KB
2025-04-04 11:55:50
perl-email-sender-doc-2.601-r0.apk
42.10KB
2025-04-04 11:55:50
perl-encode-detect-1.01-r1.apk
73.62KB
2025-06-30 10:03:59
perl-encode-detect-doc-1.01-r1.apk
4.84KB
2025-06-30 10:03:59
perl-ev-hiredis-0.07-r3.apk
13.44KB
2025-06-30 10:03:59
perl-ev-hiredis-doc-0.07-r3.apk
4.23KB
2025-06-30 10:03:59
perl-expect-1.38-r0.apk
32.45KB
2025-04-19 22:01:21
perl-expect-doc-1.38-r0.apk
20.29KB
2025-04-19 22:01:21
perl-expect-simple-0.04-r0.apk
5.49KB
2025-04-20 07:38:43
perl-expect-simple-doc-0.04-r0.apk
4.88KB
2025-04-20 07:38:43
perl-extutils-makemaker-7.76-r0.apk
174.21KB
2025-08-16 17:43:24
perl-extutils-xsbuilder-0.28-r5.apk
43.06KB
2024-10-25 23:34:16
perl-extutils-xsbuilder-doc-0.28-r5.apk
21.14KB
2024-10-25 23:34:16
perl-feed-find-0.13-r0.apk
3.86KB
2024-10-25 23:34:16
perl-feed-find-doc-0.13-r0.apk
3.74KB
2024-10-25 23:34:16
perl-ffi-c-0.15-r0.apk
19.88KB
2024-10-25 23:34:16
perl-ffi-c-doc-0.15-r0.apk
28.60KB
2024-10-25 23:34:16
perl-ffi-platypus-2.10-r1.apk
188.56KB
2025-06-30 10:03:59
perl-ffi-platypus-doc-2.10-r1.apk
147.97KB
2025-06-30 10:03:59
perl-ffi-platypus-type-enum-0.06-r0.apk
5.21KB
2024-10-25 23:34:16
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
5.16KB
2024-10-25 23:34:16
perl-file-changenotify-0.31-r0.apk
12.10KB
2025-03-20 18:50:23
perl-file-changenotify-doc-0.31-r0.apk
14.01KB
2025-03-20 18:50:23
perl-file-find-object-0.3.9-r0.apk
9.16KB
2025-07-11 19:50:22
perl-file-find-object-doc-0.3.9-r0.apk
13.21KB
2025-07-11 19:50:22
perl-file-mmagic-xs-0.09008-r5.apk
30.37KB
2025-06-30 10:03:59
perl-file-mmagic-xs-doc-0.09008-r5.apk
4.26KB
2025-06-30 10:03:59
perl-file-rename-2.02-r0.apk
7.54KB
2024-10-25 23:34:16
perl-file-rename-doc-2.02-r0.apk
12.09KB
2024-10-25 23:34:16
perl-file-treecreate-0.0.1-r0.apk
4.02KB
2025-07-11 11:46:44
perl-file-treecreate-doc-0.0.1-r0.apk
4.40KB
2025-07-11 11:46:44
perl-finance-quote-1.68-r0.apk
113.88KB
2025-12-22 12:54:47
perl-finance-quote-doc-1.68-r0.apk
89.84KB
2025-12-22 12:54:47
perl-flowd-0.9.1-r11.apk
21.67KB
2025-06-30 10:03:59
perl-flowd-doc-0.9.1-r11.apk
3.25KB
2025-06-30 10:03:59
perl-freezethaw-0.5001-r3.apk
9.82KB
2025-10-18 13:32:50
perl-freezethaw-doc-0.5001-r3.apk
5.71KB
2025-10-18 13:32:50
perl-full-1.004-r0.apk
7.12KB
2024-10-25 23:34:16
perl-full-doc-1.004-r0.apk
10.30KB
2024-10-25 23:34:16
perl-future-asyncawait-hooks-0.02-r1.apk
8.37KB
2025-06-30 10:03:59
perl-future-asyncawait-hooks-doc-0.02-r1.apk
3.34KB
2025-06-30 10:03:59
perl-future-http-0.17-r0.apk
9.24KB
2024-10-25 23:34:16
perl-future-http-doc-0.17-r0.apk
15.68KB
2024-10-25 23:34:16
perl-future-q-0.120-r0.apk
9.61KB
2024-10-25 23:34:16
perl-future-q-doc-0.120-r0.apk
9.12KB
2024-10-25 23:34:16
perl-future-queue-0.52-r0.apk
4.09KB
2024-10-25 23:34:16
perl-future-queue-doc-0.52-r0.apk
4.27KB
2024-10-25 23:34:16
perl-gearman-2.004.015-r3.apk
27.47KB
2024-10-25 23:34:16
perl-gearman-doc-2.004.015-r3.apk
19.79KB
2024-10-25 23:34:16
perl-getopt-long-descriptive-0.116-r0.apk
14.64KB
2024-12-31 11:40:04
perl-getopt-long-descriptive-doc-0.116-r0.apk
10.99KB
2024-12-31 11:40:04
perl-getopt-tabular-0.3-r4.apk
23.25KB
2024-10-25 23:34:16
perl-getopt-tabular-doc-0.3-r4.apk
16.75KB
2024-10-25 23:34:16
perl-git-raw-0.90-r4.apk
182.65KB
2025-06-30 10:03:59
perl-git-raw-doc-0.90-r4.apk
119.28KB
2025-06-30 10:03:59
perl-git-repository-1.325-r0.apk
16.34KB
2024-10-25 23:34:16
perl-git-repository-doc-1.325-r0.apk
31.51KB
2024-10-25 23:34:16
perl-git-version-compare-1.005-r0.apk
5.40KB
2024-10-25 23:34:16
perl-git-version-compare-doc-1.005-r0.apk
4.87KB
2024-10-25 23:34:16
perl-glib-ex-objectbits-17-r0.apk
15.04KB
2024-10-25 23:34:16
perl-glib-ex-objectbits-doc-17-r0.apk
22.39KB
2024-10-25 23:34:16
perl-graphql-client-0.605-r0.apk
7.15KB
2024-10-25 23:34:16
perl-graphql-client-cli-0.605-r0.apk
7.82KB
2024-10-25 23:34:16
perl-graphql-client-doc-0.605-r0.apk
13.98KB
2024-10-25 23:34:16
perl-gtk2-1.24993-r7.apk
947.46KB
2025-06-30 10:03:59
perl-gtk2-doc-1.24993-r7.apk
678.57KB
2025-06-30 10:03:59
perl-gtk2-ex-listmodelconcat-11-r4.apk
12.76KB
2024-10-25 23:34:16
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
7.29KB
2024-10-25 23:34:16
perl-gtk2-ex-widgetbits-48-r3.apk
65.71KB
2024-10-25 23:34:16
perl-gtk2-ex-widgetbits-doc-48-r3.apk
81.23KB
2024-10-25 23:34:16
perl-guard-1.023-r10.apk
8.66KB
2025-06-30 10:03:59
perl-guard-doc-1.023-r10.apk
5.34KB
2025-06-30 10:03:59
perl-hash-merge-extra-0.06-r0.apk
3.14KB
2025-06-20 18:18:55
perl-hash-merge-extra-doc-0.06-r0.apk
3.37KB
2025-06-20 18:18:55
perl-hash-ordered-0.014-r0.apk
9.79KB
2024-10-25 23:34:16
perl-hash-ordered-doc-0.014-r0.apk
19.24KB
2024-10-25 23:34:16
perl-html-formatexternal-26-r0.apk
17.07KB
2025-06-07 17:55:20
perl-html-formatexternal-doc-26-r0.apk
23.01KB
2025-06-07 17:55:20
perl-html-formhandler-0.40068-r0.apk
135.46KB
2025-05-01 09:30:55
perl-html-formhandler-doc-0.40068-r0.apk
323.22KB
2025-05-01 09:30:55
perl-html-gumbo-0.18-r2.apk
14.84KB
2025-06-30 10:03:59
perl-html-gumbo-doc-0.18-r2.apk
5.42KB
2025-06-30 10:03:59
perl-html-object-0.6.0-r0.apk
342.86KB
2025-10-16 19:25:24
perl-html-object-doc-0.6.0-r0.apk
473.51KB
2025-10-16 19:25:24
perl-html-query-0.09-r0.apk
13.81KB
2025-06-16 14:51:05
perl-html-query-doc-0.09-r0.apk
10.10KB
2025-06-16 14:51:05
perl-html-selector-xpath-0.28-r0.apk
6.22KB
2024-10-25 23:34:16
perl-html-selector-xpath-doc-0.28-r0.apk
4.07KB
2024-10-25 23:34:16
perl-html-tableextract-2.15-r4.apk
17.68KB
2024-10-25 23:34:16
perl-html-tableextract-doc-2.15-r4.apk
9.92KB
2024-10-25 23:34:16
perl-html-treebuilder-xpath-0.14-r0.apk
7.80KB
2025-07-10 22:45:27
perl-html-treebuilder-xpath-doc-0.14-r0.apk
4.21KB
2025-07-10 22:45:27
perl-http-headers-actionpack-0.09-r0.apk
18.00KB
2025-06-13 21:17:39
perl-http-headers-actionpack-doc-0.09-r0.apk
40.30KB
2025-06-13 21:17:39
perl-http-thin-0.006-r0.apk
3.08KB
2024-10-25 23:34:16
perl-http-thin-doc-0.006-r0.apk
3.45KB
2024-10-25 23:34:16
perl-http-xsheaders-0.400005-r2.apk
20.47KB
2025-06-30 10:03:59
perl-http-xsheaders-doc-0.400005-r2.apk
6.36KB
2025-06-30 10:03:59
perl-i18n-langinfo-wide-9-r4.apk
4.22KB
2024-10-25 23:34:16
perl-i18n-langinfo-wide-doc-9-r4.apk
4.08KB
2024-10-25 23:34:16
perl-imager-1.028-r1.apk
554.55KB
2025-06-30 10:03:59
perl-imager-doc-1.028-r1.apk
286.43KB
2025-06-30 10:03:59
perl-indirect-0.39-r2.apk
16.12KB
2025-06-30 10:03:59
perl-indirect-doc-0.39-r2.apk
6.41KB
2025-06-30 10:03:59
perl-io-handle-util-0.02-r0.apk
10.62KB
2025-06-11 19:35:14
perl-io-handle-util-doc-0.02-r0.apk
9.82KB
2025-06-11 19:35:14
perl-io-interactive-1.027-r0.apk
5.31KB
2025-09-01 16:16:16
perl-io-interactive-doc-1.027-r0.apk
5.20KB
2025-09-01 16:16:16
perl-io-lambda-1.34-r0.apk
75.46KB
2024-10-25 23:34:16
perl-io-lambda-doc-1.34-r0.apk
67.95KB
2024-10-25 23:34:16
perl-io-sessiondata-1.03-r3.apk
5.75KB
2024-10-25 23:34:16
perl-json-maybeutf8-2.000-r0.apk
3.13KB
2024-10-25 23:34:16
perl-json-maybeutf8-doc-2.000-r0.apk
3.56KB
2024-10-25 23:34:16
perl-json-validator-5.15-r0.apk
58.15KB
2025-03-19 15:13:24
perl-json-validator-doc-5.15-r0.apk
33.82KB
2025-03-19 15:13:24
perl-lexical-persistence-1.023-r0.apk
7.51KB
2025-04-26 11:13:48
perl-lexical-persistence-doc-1.023-r0.apk
7.57KB
2025-04-26 11:13:48
perl-lib-abs-0.95-r0.apk
3.86KB
2024-10-25 23:34:16
perl-lib-abs-doc-0.95-r0.apk
3.95KB
2024-10-25 23:34:16
perl-libapreq2-2.17-r3.apk
103.29KB
2025-06-30 10:03:59
perl-libapreq2-dev-2.17-r3.apk
56.91KB
2025-06-30 10:03:59
perl-libapreq2-doc-2.17-r3.apk
37.27KB
2025-06-30 10:03:59
perl-libintl-perl-1.35-r0.apk
304.92KB
2025-01-16 15:35:23
perl-libintl-perl-doc-1.35-r0.apk
571.42KB
2025-01-16 15:35:23
perl-lingua-en-findnumber-1.32-r0.apk
3.25KB
2025-06-08 19:40:58
perl-lingua-en-findnumber-doc-1.32-r0.apk
3.48KB
2025-06-08 19:40:58
perl-lingua-en-inflect-number-1.12-r0.apk
3.21KB
2025-06-08 19:40:58
perl-lingua-en-inflect-number-doc-1.12-r0.apk
3.56KB
2025-06-08 19:40:58
perl-lingua-en-inflect-phrase-0.20-r0.apk
5.32KB
2025-06-09 15:06:29
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
3.91KB
2025-06-09 15:06:29
perl-lingua-en-number-isordinal-0.05-r0.apk
3.06KB
2025-06-08 19:40:58
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
3.45KB
2025-06-08 19:40:58
perl-lingua-en-tagger-0.31-r0.apk
545.77KB
2025-06-08 19:40:58
perl-lingua-en-tagger-doc-0.31-r0.apk
4.45KB
2025-06-08 19:40:58
perl-lingua-en-words2nums-0.18-r0.apk
4.60KB
2025-06-07 17:55:41
perl-lingua-en-words2nums-doc-0.18-r0.apk
3.51KB
2025-06-07 17:55:41
perl-lingua-pt-stemmer-0.02-r0.apk
5.42KB
2025-06-07 05:00:24
perl-lingua-pt-stemmer-doc-0.02-r0.apk
4.28KB
2025-06-07 05:00:24
perl-lingua-stem-2.31-r0.apk
12.38KB
2025-06-08 19:40:58
perl-lingua-stem-doc-2.31-r0.apk
33.58KB
2025-06-08 19:40:58
perl-lingua-stem-fr-0.02-r0.apk
6.13KB
2025-06-06 17:57:22
perl-lingua-stem-fr-doc-0.02-r0.apk
3.93KB
2025-06-06 17:57:22
perl-lingua-stem-it-0.02-r0.apk
5.22KB
2025-06-06 17:57:22
perl-lingua-stem-it-doc-0.02-r0.apk
3.54KB
2025-06-06 17:57:22
perl-lingua-stem-ru-0.04-r0.apk
4.12KB
2025-06-06 17:57:22
perl-lingua-stem-ru-doc-0.04-r0.apk
3.74KB
2025-06-06 17:57:22
perl-lingua-stem-snowball-da-1.01-r0.apk
4.33KB
2025-06-08 19:40:58
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
3.03KB
2025-06-08 19:40:58
perl-linux-pid-0.04-r15.apk
4.76KB
2025-06-30 10:03:59
perl-linux-pid-doc-0.04-r15.apk
3.07KB
2025-06-30 10:03:59
perl-list-binarysearch-0.25-r0.apk
9.96KB
2024-10-25 23:34:16
perl-list-binarysearch-doc-0.25-r0.apk
11.54KB
2024-10-25 23:34:16
perl-list-binarysearch-xs-0.09-r2.apk
12.68KB
2025-06-30 10:03:59
perl-list-binarysearch-xs-doc-0.09-r2.apk
8.13KB
2025-06-30 10:03:59
perl-list-keywords-0.11-r1.apk
15.54KB
2025-06-30 10:03:59
perl-list-keywords-doc-0.11-r1.apk
5.57KB
2025-06-30 10:03:59
perl-log-fu-0.31-r4.apk
10.51KB
2024-10-25 23:34:16
perl-log-fu-doc-0.31-r4.apk
7.26KB
2024-10-25 23:34:16
perl-log-message-0.08-r3.apk
10.61KB
2024-10-25 23:34:16
perl-log-message-doc-0.08-r3.apk
12.15KB
2024-10-25 23:34:16
perl-log-message-simple-0.10-r3.apk
4.21KB
2024-10-25 23:34:16
perl-log-message-simple-doc-0.10-r3.apk
3.95KB
2024-10-25 23:34:16
perl-lwp-useragent-cached-0.08-r1.apk
6.39KB
2024-10-25 23:34:16
perl-lwp-useragent-cached-doc-0.08-r1.apk
5.66KB
2024-10-25 23:34:16
perl-mastodon-client-0.017-r0.apk
22.06KB
2024-10-25 23:34:16
perl-mastodon-client-doc-0.017-r0.apk
32.99KB
2024-10-25 23:34:16
perl-math-int64-0.57-r2.apk
28.20KB
2025-06-30 10:03:59
perl-math-int64-doc-0.57-r2.apk
10.53KB
2025-06-30 10:03:59
perl-math-libm-1.00-r15.apk
10.89KB
2025-06-30 10:03:59
perl-math-libm-doc-1.00-r15.apk
3.21KB
2025-06-30 10:03:59
perl-math-random-0.75-r0.apk
38.09KB
2025-10-01 07:39:07
perl-math-random-doc-0.75-r0.apk
11.31KB
2025-10-01 07:39:07
perl-math-random-isaac-xs-1.004-r9.apk
7.97KB
2025-06-30 10:03:59
perl-math-random-isaac-xs-doc-1.004-r9.apk
3.83KB
2025-06-30 10:03:59
perl-mce-1.902-r0.apk
134.46KB
2025-09-10 06:59:40
perl-mce-doc-1.902-r0.apk
171.23KB
2025-09-10 06:59:40
perl-memoize-expirelru-0.56-r0.apk
6.22KB
2025-06-08 19:40:58
perl-memoize-expirelru-doc-0.56-r0.apk
3.84KB
2025-06-08 19:40:58
perl-minion-11.0-r0.apk
1.50MB
2025-08-27 14:33:26
perl-minion-backend-pg-11.0-r0.apk
9.57KB
2025-08-27 14:33:26
perl-minion-backend-redis-0.003-r0.apk
10.51KB
2024-10-25 23:34:16
perl-minion-backend-redis-doc-0.003-r0.apk
6.58KB
2024-10-25 23:34:16
perl-minion-backend-sqlite-5.0.7-r0.apk
10.12KB
2024-10-25 23:34:16
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
6.78KB
2024-10-25 23:34:16
perl-minion-doc-11.0-r0.apk
50.62KB
2025-08-27 14:33:26
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
3.44KB
2024-10-25 23:34:16
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
3.78KB
2024-10-25 23:34:16
perl-module-cpants-analyse-1.02-r0.apk
26.52KB
2025-07-12 16:43:13
perl-module-cpants-analyse-doc-1.02-r0.apk
28.29KB
2025-07-12 16:43:13
perl-module-extract-version-1.119-r0.apk
3.25KB
2025-09-05 09:55:49
perl-module-extract-version-doc-1.119-r0.apk
3.46KB
2025-09-05 09:55:49
perl-module-generic-1.1.3-r0.apk
323.73KB
2025-10-24 20:52:54
perl-module-generic-doc-1.1.3-r0.apk
262.36KB
2025-10-24 20:52:54
perl-module-path-0.19-r0.apk
4.71KB
2025-06-08 19:40:58
perl-module-path-doc-0.19-r0.apk
5.80KB
2025-06-08 19:40:58
perl-mojo-reactor-ioasync-1.002-r0.apk
4.74KB
2024-10-25 23:34:16
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
4.38KB
2024-10-25 23:34:16
perl-mojo-redis-3.29-r0.apk
25.18KB
2024-10-25 23:34:16
perl-mojo-redis-doc-3.29-r0.apk
24.62KB
2024-10-25 23:34:16
perl-mojo-sqlite-3.009-r0.apk
15.96KB
2024-10-25 23:34:16
perl-mojo-sqlite-doc-3.009-r0.apk
19.36KB
2024-10-25 23:34:16
perl-mojolicious-plugin-openapi-5.11-r0.apk
28.37KB
2025-03-19 15:13:24
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
33.46KB
2025-03-19 15:13:24
perl-moosex-configfromfile-0.14-r0.apk
4.09KB
2025-03-19 15:13:24
perl-moosex-configfromfile-doc-0.14-r0.apk
4.15KB
2025-03-19 15:13:24
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
5.43KB
2025-03-19 15:13:24
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
5.34KB
2025-03-19 15:13:24
perl-moosex-getopt-0.78-r0.apk
13.62KB
2025-03-19 15:13:24
perl-moosex-getopt-doc-0.78-r0.apk
24.72KB
2025-03-19 15:13:24
perl-moosex-markasmethods-0.15-r0.apk
5.10KB
2025-06-04 20:22:14
perl-moosex-markasmethods-doc-0.15-r0.apk
5.21KB
2025-06-04 20:22:14
perl-moosex-methodattributes-0.32-r0.apk
8.55KB
2025-03-19 15:13:24
perl-moosex-methodattributes-doc-0.32-r0.apk
22.05KB
2025-03-19 15:13:24
perl-moosex-nonmoose-0.27-r1.apk
8.19KB
2025-06-19 13:55:15
perl-moosex-nonmoose-doc-0.27-r1.apk
9.44KB
2025-06-19 13:55:15
perl-moosex-object-pluggable-0.0014-r0.apk
5.98KB
2025-04-21 20:33:11
perl-moosex-object-pluggable-doc-0.0014-r0.apk
5.50KB
2025-04-21 20:33:11
perl-moosex-relatedclassroles-0.004-r0.apk
2.80KB
2025-05-01 09:30:55
perl-moosex-relatedclassroles-doc-0.004-r0.apk
3.34KB
2025-05-01 09:30:55
perl-moosex-role-parameterized-1.11-r0.apk
7.73KB
2025-03-19 15:13:24
perl-moosex-role-parameterized-doc-1.11-r0.apk
23.50KB
2025-03-19 15:13:24
perl-moosex-simpleconfig-0.11-r0.apk
3.79KB
2025-03-19 15:13:24
perl-moosex-simpleconfig-doc-0.11-r0.apk
4.16KB
2025-03-19 15:13:24
perl-moosex-traits-pluggable-0.12-r0.apk
4.94KB
2025-05-01 09:30:55
perl-moosex-traits-pluggable-doc-0.12-r0.apk
4.21KB
2025-05-01 09:30:55
perl-moosex-types-loadableclass-0.016-r0.apk
3.22KB
2025-04-30 19:21:47
perl-moosex-types-loadableclass-doc-0.016-r0.apk
3.75KB
2025-04-30 19:21:47
perl-moosex-types-path-tiny-0.012-r0.apk
4.01KB
2025-03-19 15:13:24
perl-moosex-types-path-tiny-doc-0.012-r0.apk
4.11KB
2025-03-19 15:13:24
perl-moosex-types-stringlike-0.003-r0.apk
3.05KB
2025-03-19 15:13:24
perl-moosex-types-stringlike-doc-0.003-r0.apk
3.58KB
2025-03-19 15:13:24
perl-moox-typetiny-0.002003-r0.apk
3.55KB
2025-06-09 15:05:58
perl-moox-typetiny-doc-0.002003-r0.apk
3.17KB
2025-06-09 15:05:58
perl-multidimensional-0.014-r1.apk
4.87KB
2025-06-30 10:03:59
perl-multidimensional-doc-0.014-r1.apk
3.16KB
2025-06-30 10:03:59
perl-musicbrainz-discid-0.06-r2.apk
9.62KB
2025-06-30 10:03:59
perl-musicbrainz-discid-doc-0.06-r2.apk
4.36KB
2025-06-30 10:03:59
perl-net-address-ip-local-0.1.2-r0.apk
3.45KB
2024-10-25 23:34:16
perl-net-address-ip-local-doc-0.1.2-r0.apk
3.50KB
2024-10-25 23:34:16
perl-net-amqp-rabbitmq-2.40014-r1.apk
83.95KB
2025-11-21 01:48:02
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
10.81KB
2025-11-21 01:48:02
perl-net-async-redis-6.006-r0.apk
58.44KB
2024-12-11 18:22:13
perl-net-async-redis-doc-6.006-r0.apk
65.89KB
2024-12-11 18:22:13
perl-net-async-redis-xs-1.001-r2.apk
9.54KB
2025-06-30 10:03:59
perl-net-async-redis-xs-doc-1.001-r2.apk
5.39KB
2025-06-30 10:03:59
perl-net-curl-0.57-r1.apk
65.61KB
2025-06-30 10:03:59
perl-net-curl-doc-0.57-r1.apk
39.40KB
2025-06-30 10:03:59
perl-net-curl-promiser-0.20-r0.apk
8.87KB
2024-10-25 23:34:16
perl-net-curl-promiser-anyevent-0.20-r0.apk
2.72KB
2024-10-25 23:34:16
perl-net-curl-promiser-doc-0.20-r0.apk
11.65KB
2024-10-25 23:34:16
perl-net-curl-promiser-ioasync-0.20-r0.apk
2.98KB
2024-10-25 23:34:16
perl-net-curl-promiser-mojo-0.20-r0.apk
3.12KB
2024-10-25 23:34:16
perl-net-dbus-1.2.0-r0.apk
95.63KB
2025-12-13 09:25:48
perl-net-dbus-doc-1.2.0-r0.apk
94.05KB
2025-12-13 09:25:48
perl-net-idn-encode-2.500-r2.apk
83.69KB
2025-06-30 10:03:59
perl-net-idn-encode-doc-2.500-r2.apk
21.83KB
2025-06-30 10:03:59
perl-net-irr-0.10-r0.apk
5.47KB
2024-10-25 23:34:16
perl-net-irr-doc-0.10-r0.apk
5.17KB
2024-10-25 23:34:16
perl-net-netmask-2.0003-r0.apk
13.52KB
2025-05-18 07:10:22
perl-net-netmask-doc-2.0003-r0.apk
8.55KB
2025-05-18 07:10:22
perl-net-patricia-1.24-r0.apk
21.26KB
2025-11-20 17:48:11
perl-net-patricia-doc-1.24-r0.apk
6.29KB
2025-11-20 17:48:11
perl-netaddr-mac-0.99-r0.apk
9.95KB
2025-12-21 09:46:58
perl-netaddr-mac-doc-0.99-r0.apk
8.08KB
2025-12-21 09:46:58
perl-nice-try-1.3.17-r0.apk
27.86KB
2025-07-19 20:52:20
perl-nice-try-doc-1.3.17-r0.apk
12.50KB
2025-07-19 20:52:20
perl-number-format-1.76-r1.apk
15.25KB
2024-10-25 23:34:17
perl-number-format-doc-1.76-r1.apk
9.01KB
2024-10-25 23:34:17
perl-number-tolerant-1.710-r0.apk
14.84KB
2024-10-25 23:34:17
perl-number-tolerant-doc-1.710-r0.apk
25.64KB
2024-10-25 23:34:17
perl-object-array-0.060-r0.apk
5.69KB
2024-10-25 23:34:17
perl-object-array-doc-0.060-r0.apk
6.96KB
2024-10-25 23:34:17
perl-object-pad-fieldattr-checked-0.12-r1.apk
8.49KB
2025-06-30 10:03:59
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk
4.53KB
2025-06-30 10:03:59
perl-object-signature-1.08-r0.apk
3.68KB
2025-04-04 14:57:07
perl-object-signature-doc-1.08-r0.apk
5.41KB
2025-04-04 14:57:07
perl-openapi-client-1.07-r0.apk
8.65KB
2024-10-25 23:34:17
perl-openapi-client-doc-1.07-r0.apk
7.36KB
2024-10-25 23:34:17
perl-opentracing-1.006-r0.apk
17.99KB
2024-10-25 23:34:17
perl-opentracing-doc-1.006-r0.apk
32.72KB
2024-10-25 23:34:17
perl-pango-1.227-r12.apk
85.97KB
2025-06-30 10:03:59
perl-pango-doc-1.227-r12.apk
82.37KB
2025-06-30 10:03:59
perl-parse-distname-0.05-r0.apk
5.47KB
2025-07-11 11:46:44
perl-parse-distname-doc-0.05-r0.apk
4.37KB
2025-07-11 11:46:44
perl-path-dispatcher-1.08-r0.apk
14.23KB
2025-06-09 15:05:58
perl-path-dispatcher-doc-1.08-r0.apk
37.73KB
2025-06-09 15:05:58
perl-path-iter-0.2-r3.apk
5.22KB
2024-10-25 23:34:17
perl-path-iter-doc-0.2-r3.apk
5.16KB
2024-10-25 23:34:17
perl-perlio-locale-0.10-r13.apk
4.56KB
2025-06-30 10:03:59
perl-perlio-locale-doc-0.10-r13.apk
3.11KB
2025-06-30 10:03:59
perl-plack-middleware-expires-0.06-r3.apk
3.88KB
2024-10-25 23:34:17
perl-plack-middleware-expires-doc-0.06-r3.apk
3.35KB
2024-10-25 23:34:17
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
3.08KB
2024-12-26 11:36:44
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
3.07KB
2024-12-26 11:36:44
perl-plack-middleware-methodoverride-0.20-r0.apk
3.64KB
2025-03-19 15:13:24
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
4.05KB
2025-03-19 15:13:24
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2.51KB
2024-12-27 16:02:22
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
3.08KB
2024-12-27 16:02:22
perl-plack-middleware-reverseproxy-0.16-r2.apk
3.16KB
2024-10-25 23:34:17
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
3.05KB
2024-10-25 23:34:17
perl-plack-test-externalserver-0.02-r0.apk
2.81KB
2025-03-19 15:13:24
perl-plack-test-externalserver-doc-0.02-r0.apk
3.13KB
2025-03-19 15:13:24
perl-pod-cpandoc-0.16-r6.apk
4.57KB
2024-10-25 23:34:17
perl-pod-cpandoc-doc-0.16-r6.apk
4.90KB
2024-10-25 23:34:17
perl-pod-tidy-0.10-r1.apk
10.38KB
2024-10-25 23:34:17
perl-pod-tidy-doc-0.10-r1.apk
10.55KB
2024-10-25 23:34:17
perl-ppi-xs-0.910-r2.apk
5.88KB
2025-06-30 10:03:59
perl-ppi-xs-doc-0.910-r2.apk
3.43KB
2025-06-30 10:03:59
perl-prereqscanner-notquitelite-0.9917-r0.apk
41.02KB
2025-07-12 16:43:13
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
49.08KB
2025-07-12 16:43:13
perl-proc-guard-0.07-r4.apk
3.69KB
2024-10-25 23:34:17
perl-proc-guard-doc-0.07-r4.apk
3.54KB
2024-10-25 23:34:17
perl-promise-es6-0.28-r0.apk
10.72KB
2024-10-25 23:34:17
perl-promise-es6-anyevent-0.28-r0.apk
2.51KB
2024-10-25 23:34:17
perl-promise-es6-doc-0.28-r0.apk
12.08KB
2024-10-25 23:34:17
perl-promise-es6-future-0.28-r0.apk
2.30KB
2024-10-25 23:34:17
perl-promise-es6-io-async-0.28-r0.apk
2.97KB
2024-10-25 23:34:17
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2.59KB
2024-10-25 23:34:17
perl-promise-me-0.6.0-r0.apk
27.14KB
2025-08-09 12:46:04
perl-promise-me-doc-0.6.0-r0.apk
12.83KB
2025-08-09 12:46:04
perl-promise-xs-0.20-r2.apk
24.91KB
2025-06-30 10:03:59
perl-promise-xs-doc-0.20-r2.apk
8.76KB
2025-06-30 10:03:59
perl-protocol-database-postgresql-2.001-r0.apk
19.19KB
2024-10-25 23:34:17
perl-protocol-database-postgresql-doc-2.001-r0.apk
37.56KB
2024-10-25 23:34:17
perl-protocol-redis-1.0021-r0.apk
5.61KB
2024-10-25 23:34:17
perl-protocol-redis-doc-1.0021-r0.apk
5.04KB
2024-10-25 23:34:17
perl-protocol-redis-faster-0.003-r0.apk
3.43KB
2024-10-25 23:34:17
perl-protocol-redis-faster-doc-0.003-r0.apk
3.33KB
2024-10-25 23:34:17
perl-ref-util-xs-0.117-r9.apk
9.77KB
2025-06-30 10:03:59
perl-ref-util-xs-doc-0.117-r9.apk
3.47KB
2025-06-30 10:03:59
perl-regexp-trie-0.02-r0.apk
2.98KB
2025-07-12 10:22:13
perl-regexp-trie-doc-0.02-r0.apk
3.31KB
2025-07-12 10:22:13
perl-role-eventemitter-0.003-r0.apk
3.68KB
2024-10-25 23:34:17
perl-role-eventemitter-doc-0.003-r0.apk
3.96KB
2024-10-25 23:34:17
perl-rxperl-6.29.8-r0.apk
26.29KB
2024-10-25 23:34:17
perl-rxperl-anyevent-6.8.1-r0.apk
2.73KB
2024-10-25 23:34:17
perl-rxperl-anyevent-doc-6.8.1-r0.apk
8.97KB
2024-10-25 23:34:17
perl-rxperl-doc-6.29.8-r0.apk
22.57KB
2024-10-25 23:34:17
perl-rxperl-ioasync-6.9.1-r0.apk
2.83KB
2024-10-25 23:34:17
perl-rxperl-ioasync-doc-6.9.1-r0.apk
9.01KB
2024-10-25 23:34:17
perl-rxperl-mojo-6.8.2-r0.apk
2.84KB
2024-10-25 23:34:17
perl-rxperl-mojo-doc-6.8.2-r0.apk
9.06KB
2024-10-25 23:34:17
perl-ryu-4.001-r0.apk
26.06KB
2024-10-25 23:34:17
perl-ryu-async-0.020-r0.apk
7.51KB
2024-10-25 23:34:17
perl-ryu-async-doc-0.020-r0.apk
11.82KB
2024-10-25 23:34:17
perl-ryu-doc-4.001-r0.apk
35.40KB
2024-10-25 23:34:17
perl-scalar-readonly-0.03-r2.apk
5.37KB
2025-06-30 10:03:59
perl-scalar-readonly-doc-0.03-r2.apk
3.43KB
2025-06-30 10:03:59
perl-session-storage-secure-1.000-r2.apk
8.83KB
2024-10-25 23:34:17
perl-session-storage-secure-doc-1.000-r2.apk
7.41KB
2024-10-25 23:34:17
perl-set-infinite-0.65-r0.apk
22.89KB
2025-07-21 11:41:15
perl-set-infinite-doc-0.65-r0.apk
11.46KB
2025-07-21 11:41:15
perl-shell-config-generate-0.34-r0.apk
7.84KB
2025-06-08 19:40:58
perl-shell-config-generate-doc-0.34-r0.apk
6.83KB
2025-06-08 19:40:58
perl-shell-guess-0.10-r0.apk
5.97KB
2025-06-07 17:55:20
perl-shell-guess-doc-0.10-r0.apk
5.78KB
2025-06-07 17:55:20
perl-signature-attribute-checked-0.06-r1.apk
7.83KB
2025-06-30 10:03:59
perl-signature-attribute-checked-doc-0.06-r1.apk
4.63KB
2025-06-30 10:03:59
perl-smart-comments-1.06-r0.apk
12.28KB
2025-07-07 20:02:33
perl-smart-comments-doc-1.06-r0.apk
8.52KB
2025-07-07 20:02:33
perl-snmp-5.0404-r14.apk
73.17KB
2025-06-30 10:03:59
perl-snmp-doc-5.0404-r14.apk
14.10KB
2025-06-30 10:03:59
perl-snmp-info-3.974000-r0.apk
336.95KB
2025-09-12 07:50:05
perl-snmp-info-doc-3.974000-r0.apk
389.58KB
2025-09-12 07:50:05
perl-snowball-norwegian-1.2-r0.apk
5.27KB
2025-06-08 19:40:58
perl-snowball-norwegian-doc-1.2-r0.apk
3.89KB
2025-06-08 19:40:58
perl-snowball-swedish-1.2-r0.apk
5.24KB
2025-06-08 19:40:58
perl-snowball-swedish-doc-1.2-r0.apk
3.86KB
2025-06-08 19:40:58
perl-soap-lite-1.27-r5.apk
110.22KB
2024-10-25 23:34:17
perl-soap-lite-doc-1.27-r5.apk
90.47KB
2024-10-25 23:34:17
perl-software-license-0.104007-r0.apk
106.63KB
2025-07-08 19:53:41
perl-software-license-doc-0.104007-r0.apk
50.44KB
2025-07-08 19:53:41
perl-sort-naturally-1.03-r4.apk
8.67KB
2024-10-25 23:34:17
perl-sort-naturally-doc-1.03-r4.apk
5.46KB
2024-10-25 23:34:17
perl-sort-versions-1.62-r0.apk
3.74KB
2024-10-25 23:34:17
perl-sort-versions-doc-1.62-r0.apk
4.15KB
2024-10-25 23:34:17
perl-sql-abstract-classic-1.91-r1.apk
29.51KB
2024-10-25 23:34:17
perl-sql-abstract-classic-doc-1.91-r1.apk
20.23KB
2024-10-25 23:34:17
perl-sql-abstract-more-1.44-r0.apk
27.57KB
2025-07-14 08:59:24
perl-sql-abstract-more-doc-1.44-r0.apk
16.67KB
2025-07-14 08:59:24
perl-starman-0.4017-r0.apk
13.44KB
2024-10-25 23:34:17
perl-starman-doc-0.4017-r0.apk
10.01KB
2024-10-25 23:34:17
perl-statistics-basic-1.6611-r0.apk
9.21KB
2024-10-25 23:34:17
perl-statistics-basic-doc-1.6611-r0.apk
49.78KB
2024-10-25 23:34:17
perl-statistics-descriptive-3.0801-r0.apk
30.30KB
2024-10-25 23:34:17
perl-statistics-descriptive-doc-3.0801-r0.apk
37.50KB
2024-10-25 23:34:17
perl-storable-improved-0.1.3-r0.apk
6.71KB
2024-10-25 23:34:17
perl-storable-improved-doc-0.1.3-r0.apk
6.91KB
2024-10-25 23:34:17
perl-string-camelcase-0.04-r2.apk
3.19KB
2024-10-25 23:34:17
perl-string-camelcase-doc-0.04-r2.apk
3.46KB
2024-10-25 23:34:17
perl-string-compare-constanttime-0.321-r7.apk
7.30KB
2025-06-30 10:03:59
perl-string-compare-constanttime-doc-0.321-r7.apk
5.29KB
2025-06-30 10:03:59
perl-string-crc32-2.100-r5.apk
7.19KB
2025-06-30 10:03:59
perl-string-crc32-doc-2.100-r5.apk
3.44KB
2025-06-30 10:03:59
perl-string-escape-2010.002-r0.apk
8.87KB
2025-03-28 18:13:00
perl-string-escape-doc-2010.002-r0.apk
7.83KB
2025-03-28 18:13:00
perl-string-toidentifier-en-0.12-r0.apk
5.44KB
2025-06-09 15:06:29
perl-string-toidentifier-en-doc-0.12-r0.apk
5.58KB
2025-06-09 15:06:29
perl-syntax-keyword-match-0.15-r1.apk
13.89KB
2025-06-30 10:03:59
perl-syntax-keyword-match-doc-0.15-r1.apk
7.84KB
2025-06-30 10:03:59
perl-syntax-operator-equ-0.10-r1.apk
7.99KB
2025-06-30 10:03:59
perl-syntax-operator-equ-doc-0.10-r1.apk
6.57KB
2025-06-30 10:03:59
perl-syntax-operator-in-0.10-r1.apk
9.79KB
2025-06-30 10:03:59
perl-syntax-operator-in-doc-0.10-r1.apk
5.91KB
2025-06-30 10:03:59
perl-sys-virt-11.10.0-r0.apk
226.05KB
2025-12-03 18:16:17
perl-sys-virt-doc-11.10.0-r0.apk
106.49KB
2025-12-03 18:16:17
perl-system-command-1.122-r0.apk
11.84KB
2024-10-25 23:34:17
perl-system-command-doc-1.122-r0.apk
10.20KB
2024-10-25 23:34:17
perl-task-catalyst-4.02-r0.apk
2.95KB
2025-06-15 07:46:54
perl-task-catalyst-doc-4.02-r0.apk
3.68KB
2025-06-15 07:46:54
perl-template-plugin-csv-0.04-r3.apk
2.72KB
2024-10-25 23:34:17
perl-template-plugin-csv-doc-0.04-r3.apk
3.04KB
2024-10-25 23:34:17
perl-template-plugin-number-format-1.06-r4.apk
4.91KB
2024-10-25 23:34:17
perl-template-plugin-number-format-doc-1.06-r4.apk
4.40KB
2024-10-25 23:34:17
perl-template-timer-1.00-r0.apk
3.43KB
2025-04-15 04:17:29
perl-template-timer-doc-1.00-r0.apk
3.66KB
2025-04-15 04:17:29
perl-template-tiny-1.16-r0.apk
5.55KB
2025-07-24 15:45:05
perl-template-tiny-doc-1.16-r0.apk
5.02KB
2025-07-24 15:45:05
perl-term-size-0.211-r5.apk
5.80KB
2025-06-30 10:03:59
perl-term-size-doc-0.211-r5.apk
3.80KB
2025-06-30 10:03:59
perl-term-ui-0.50-r1.apk
9.99KB
2024-10-25 23:34:17
perl-term-ui-doc-0.50-r1.apk
8.50KB
2024-10-25 23:34:17
perl-test-api-0.010-r2.apk
5.09KB
2024-10-25 23:34:17
perl-test-api-doc-0.010-r2.apk
4.26KB
2024-10-25 23:34:17
perl-test-class-tiny-0.03-r0.apk
5.88KB
2024-10-25 23:34:17
perl-test-class-tiny-doc-0.03-r0.apk
5.44KB
2024-10-25 23:34:17
perl-test-describeme-0.004-r0.apk
3.59KB
2024-10-25 23:34:17
perl-test-describeme-doc-0.004-r0.apk
4.19KB
2024-10-25 23:34:17
perl-test-distribution-2.00-r1.apk
7.75KB
2024-10-25 23:34:17
perl-test-distribution-doc-2.00-r1.apk
6.08KB
2024-10-25 23:34:17
perl-test-expander-2.5.1-r0.apk
7.11KB
2024-10-25 23:34:17
perl-test-expander-doc-2.5.1-r0.apk
20.08KB
2024-10-25 23:34:17
perl-test-expect-0.34-r0.apk
3.47KB
2025-04-21 20:35:07
perl-test-expect-doc-0.34-r0.apk
3.58KB
2025-04-21 20:35:07
perl-test-file-1.995-r0.apk
11.41KB
2025-04-19 20:53:37
perl-test-file-doc-1.995-r0.apk
6.79KB
2025-04-19 20:53:37
perl-test-files-0.26-r0.apk
6.73KB
2024-10-25 23:34:17
perl-test-files-doc-0.26-r0.apk
14.60KB
2024-10-25 23:34:17
perl-test-kwalitee-1.28-r0.apk
6.38KB
2025-07-12 16:43:13
perl-test-kwalitee-doc-1.28-r0.apk
6.89KB
2025-07-12 16:43:13
perl-test-lwp-useragent-0.036-r0.apk
9.83KB
2024-10-25 23:34:17
perl-test-lwp-useragent-doc-0.036-r0.apk
8.35KB
2024-10-25 23:34:17
perl-test-memorygrowth-0.05-r0.apk
6.42KB
2024-10-25 23:34:17
perl-test-memorygrowth-doc-0.05-r0.apk
5.29KB
2024-10-25 23:34:17
perl-test-modern-0.013-r3.apk
14.63KB
2024-10-25 23:34:17
perl-test-modern-doc-0.013-r3.apk
9.87KB
2024-10-25 23:34:17
perl-test-perl-critic-1.04-r0.apk
6.83KB
2025-07-11 19:51:06
perl-test-perl-critic-doc-1.04-r0.apk
6.41KB
2025-07-11 19:51:06
perl-test-randomresult-0.001-r0.apk
3.52KB
2024-10-25 23:34:17
perl-test-randomresult-doc-0.001-r0.apk
3.68KB
2024-10-25 23:34:17
perl-test-redisserver-0.23-r0.apk
4.98KB
2024-10-25 23:34:17
perl-test-redisserver-doc-0.23-r0.apk
4.08KB
2024-10-25 23:34:17
perl-test-requires-git-1.008-r0.apk
4.79KB
2024-10-25 23:34:17
perl-test-requires-git-doc-1.008-r0.apk
4.41KB
2024-10-25 23:34:17
perl-test-roo-1.004-r3.apk
11.91KB
2024-10-25 23:34:17
perl-test-roo-doc-1.004-r3.apk
15.47KB
2024-10-25 23:34:17
perl-test-settings-0.003-r0.apk
4.95KB
2024-10-25 23:34:17
perl-test-settings-doc-0.003-r0.apk
6.05KB
2024-10-25 23:34:17
perl-test-trap-0.3.5-r1.apk
19.77KB
2024-10-25 23:34:17
perl-test-trap-doc-0.3.5-r1.apk
19.91KB
2024-10-25 23:34:17
perl-test-unit-0.29-r0.apk
35.51KB
2025-12-09 11:01:08
perl-test-unit-doc-0.29-r0.apk
48.80KB
2025-12-09 11:01:08
perl-test-useallmodules-0.17-r1.apk
3.82KB
2024-10-25 23:34:17
perl-test-useallmodules-doc-0.17-r1.apk
3.87KB
2024-10-25 23:34:17
perl-test-utf8-1.03-r0.apk
5.57KB
2024-11-16 17:08:52
perl-test-utf8-doc-1.03-r0.apk
4.86KB
2024-11-16 17:08:52
perl-test-www-mechanize-1.60-r0.apk
14.81KB
2025-04-15 04:17:29
perl-test-www-mechanize-catalyst-0.62-r0.apk
7.35KB
2025-04-20 07:32:50
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
6.22KB
2025-04-20 07:32:50
perl-test-www-mechanize-doc-1.60-r0.apk
10.08KB
2025-04-15 04:17:29
perl-test2-tools-explain-0.02-r0.apk
3.81KB
2024-10-25 23:34:17
perl-test2-tools-explain-doc-0.02-r0.apk
4.44KB
2024-10-25 23:34:17
perl-text-brew-0.02-r5.apk
4.54KB
2024-10-25 23:34:17
perl-text-brew-doc-0.02-r5.apk
4.14KB
2024-10-25 23:34:17
perl-text-german-0.06-r0.apk
13.09KB
2025-06-07 05:00:24
perl-text-german-doc-0.06-r0.apk
3.04KB
2025-06-07 05:00:24
perl-text-simpletable-2.07-r0.apk
4.46KB
2025-03-19 15:13:24
perl-text-simpletable-doc-2.07-r0.apk
3.45KB
2025-03-19 15:13:24
perl-text-table-any-0.117-r0.apk
8.07KB
2024-10-25 23:34:17
perl-text-table-any-doc-0.117-r0.apk
6.65KB
2024-10-25 23:34:17
perl-text-table-sprintf-0.008-r0.apk
5.36KB
2024-10-25 23:34:17
perl-text-table-sprintf-doc-0.008-r0.apk
5.22KB
2024-10-25 23:34:17
perl-text-worddiff-0.09-r0.apk
10.43KB
2025-06-09 19:26:22
perl-text-worddiff-doc-0.09-r0.apk
13.67KB
2025-06-09 19:26:22
perl-throwable-1.001-r1.apk
6.22KB
2024-10-25 23:34:17
perl-throwable-doc-1.001-r1.apk
8.00KB
2024-10-25 23:34:17
perl-tickit-widget-choice-0.07-r0.apk
3.93KB
2024-10-25 23:34:17
perl-tickit-widget-choice-doc-0.07-r0.apk
3.41KB
2024-10-25 23:34:17
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
4.63KB
2024-10-25 23:34:17
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
3.85KB
2024-10-25 23:34:17
perl-tickit-widget-floatbox-0.11-r0.apk
4.69KB
2024-10-25 23:34:17
perl-tickit-widget-floatbox-doc-0.11-r0.apk
4.15KB
2024-10-25 23:34:17
perl-tickit-widget-menu-0.16-r0.apk
7.19KB
2024-10-25 23:34:17
perl-tickit-widget-menu-doc-0.16-r0.apk
6.92KB
2024-10-25 23:34:17
perl-tickit-widget-scrollbox-0.12-r0.apk
8.01KB
2024-10-25 23:34:17
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
6.54KB
2024-10-25 23:34:17
perl-tie-toobject-0.03-r0.apk
2.60KB
2025-03-19 15:13:24
perl-tie-toobject-doc-0.03-r0.apk
3.17KB
2025-03-19 15:13:24
perl-time-moment-0.46-r0.apk
44.42KB
2025-12-05 00:38:22
perl-time-moment-doc-0.46-r0.apk
35.67KB
2025-12-05 00:38:22
perl-time-moment-role-strptime-0.001-r0.apk
2.75KB
2024-10-25 23:34:17
perl-time-moment-role-strptime-doc-0.001-r0.apk
3.36KB
2024-10-25 23:34:17
perl-time-moment-role-timezone-1.000-r0.apk
3.56KB
2024-10-25 23:34:17
perl-time-moment-role-timezone-doc-1.000-r0.apk
3.98KB
2024-10-25 23:34:17
perl-time-timegm-0.01-r10.apk
6.77KB
2025-06-30 10:03:59
perl-time-timegm-doc-0.01-r10.apk
3.87KB
2025-06-30 10:03:59
perl-tree-simple-visitorfactory-0.16-r0.apk
19.91KB
2025-03-19 15:13:24
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
46.18KB
2025-03-19 15:13:24
perl-types-path-tiny-0.006-r0.apk
3.96KB
2024-10-25 23:34:17
perl-types-path-tiny-doc-0.006-r0.apk
4.09KB
2024-10-25 23:34:17
perl-uri-db-0.23-r0.apk
10.89KB
2025-01-09 07:27:04
perl-uri-db-doc-0.23-r0.apk
8.35KB
2025-01-09 07:27:04
perl-uri-fetch-0.15-r0.apk
7.06KB
2024-10-25 23:34:17
perl-uri-fetch-doc-0.15-r0.apk
7.52KB
2024-10-25 23:34:17
perl-uri-find-20160806-r0.apk
13.62KB
2025-03-23 09:58:51
perl-uri-find-doc-20160806-r0.apk
9.12KB
2025-03-23 09:58:51
perl-uri-nested-0.10-r0.apk
4.01KB
2024-10-25 23:34:17
perl-uri-nested-doc-0.10-r0.apk
3.90KB
2024-10-25 23:34:17
perl-uri-redis-0.02-r0.apk
3.19KB
2024-10-25 23:34:17
perl-uri-redis-doc-0.02-r0.apk
4.57KB
2024-10-25 23:34:17
perl-uri-tcp-2.0.0-r0.apk
2.71KB
2024-10-25 23:34:17
perl-uri-tcp-doc-2.0.0-r0.apk
4.96KB
2024-10-25 23:34:17
perl-uri-ws-0.03-r0.apk
2.34KB
2025-03-19 15:13:24
perl-uri-ws-doc-0.03-r0.apk
4.33KB
2025-03-19 15:13:24
perl-url-encode-0.03-r4.apk
5.16KB
2024-10-25 23:34:17
perl-url-encode-doc-0.03-r4.apk
4.70KB
2024-10-25 23:34:17
perl-variable-disposition-0.005-r0.apk
3.27KB
2024-10-25 23:34:17
perl-variable-disposition-doc-0.005-r0.apk
5.65KB
2024-10-25 23:34:17
perl-wanted-0.1.0-r0.apk
20.75KB
2025-08-09 12:46:04
perl-wanted-doc-0.1.0-r0.apk
10.73KB
2025-08-09 12:46:04
perl-web-machine-0.17-r0.apk
20.27KB
2025-06-13 21:17:39
perl-web-machine-doc-0.17-r0.apk
28.70KB
2025-06-13 21:17:39
perl-web-scraper-0.38-r0.apk
7.53KB
2025-07-11 19:50:45
perl-web-scraper-doc-0.38-r0.apk
8.08KB
2025-07-11 19:50:45
perl-x-tiny-0.22-r0.apk
6.87KB
2024-10-25 23:34:17
perl-x-tiny-doc-0.22-r0.apk
7.59KB
2024-10-25 23:34:17
perl-x11-korgwm-5.0-r0.apk
40.65KB
2025-12-13 09:25:48
perl-x11-korgwm-doc-5.0-r0.apk
11.50KB
2025-12-13 09:25:48
perl-x11-xcb-0.24-r0.apk
179.74KB
2025-12-13 09:25:48
perl-x11-xcb-doc-0.24-r0.apk
13.28KB
2025-12-13 09:25:48
perl-xml-atom-0.43-r0.apk
19.61KB
2024-10-25 23:34:17
perl-xml-atom-doc-0.43-r0.apk
15.91KB
2024-10-25 23:34:17
perl-xml-bare-0.53-r14.apk
28.46KB
2025-06-30 10:03:59
perl-xml-bare-doc-0.53-r14.apk
11.46KB
2025-06-30 10:03:59
perl-xml-descent-1.04-r0.apk
7.47KB
2025-12-13 09:25:48
perl-xml-descent-doc-1.04-r0.apk
7.14KB
2025-12-13 09:25:48
perl-xml-feed-1.0.0-r0.apk
14.32KB
2025-11-17 20:33:43
perl-xml-feed-doc-1.0.0-r0.apk
12.87KB
2025-11-17 20:33:43
perl-xml-parser-style-easytree-0.09-r0.apk
4.97KB
2024-10-25 23:34:17
perl-xml-parser-style-easytree-doc-0.09-r0.apk
5.42KB
2024-10-25 23:34:17
perl-xml-rpc-2.1-r0.apk
5.73KB
2024-10-25 23:34:17
perl-xml-rpc-doc-2.1-r0.apk
4.86KB
2024-10-25 23:34:17
perl-xml-tokeparser-0.05-r0.apk
7.88KB
2025-12-13 09:25:48
perl-xml-tokeparser-doc-0.05-r0.apk
6.90KB
2025-12-13 09:25:48
perl-xml-xpathengine-0.14-r0.apk
21.70KB
2025-07-08 19:54:25
perl-xml-xpathengine-doc-0.14-r0.apk
11.03KB
2025-07-08 19:54:25
perl-xs-object-magic-0.05-r0.apk
10.56KB
2025-12-13 09:25:48
perl-xs-object-magic-doc-0.05-r0.apk
5.33KB
2025-12-13 09:25:48
persistent-cache-cpp-1.0.9-r0.apk
45.96KB
2025-09-08 04:00:46
persistent-cache-cpp-dev-1.0.9-r0.apk
17.84KB
2025-09-08 04:00:46
persistent-cache-cpp-doc-1.0.9-r0.apk
3.20KB
2025-09-08 04:00:46
pest-language-server-0.3.9-r0.apk
1.26MB
2024-10-25 23:34:17
petitboot-1.15-r0.apk
198.32KB
2025-11-15 00:05:24
petitboot-dbg-1.15-r0.apk
588.51KB
2025-11-15 00:05:24
petitboot-doc-1.15-r0.apk
8.05KB
2025-11-15 00:05:24
pfetch-1.9.4-r0.apk
23.26KB
2025-10-21 18:00:10
pfetch-doc-1.9.4-r0.apk
5.73KB
2025-10-21 18:00:10
phoronix-test-suite-10.8.4-r2.apk
3.95MB
2024-10-25 23:34:17
phoronix-test-suite-bash-completion-10.8.4-r2.apk
1.77KB
2024-10-25 23:34:17
phoronix-test-suite-doc-10.8.4-r2.apk
287.48KB
2024-10-25 23:34:17
phosh-osk-data-0.42.0-r0.apk
1.28KB
2025-12-16 18:45:04
phosh-osk-data-de-0.42.0-r0.apk
64.35MB
2025-12-16 18:45:08
phosh-osk-data-es-0.42.0-r0.apk
52.11MB
2025-12-16 18:45:11
phosh-osk-data-fi-0.42.0-r0.apk
63.68MB
2025-12-16 18:45:14
phosh-osk-data-it-0.42.0-r0.apk
58.04MB
2025-12-16 18:45:17
phosh-osk-data-nl-0.42.0-r0.apk
53.55MB
2025-12-16 18:45:20
phosh-osk-data-pl-0.42.0-r0.apk
56.70MB
2025-12-16 18:45:23
phosh-osk-data-pt-0.42.0-r0.apk
56.73MB
2025-12-16 18:45:26
phosh-osk-data-ru-0.42.0-r0.apk
19.92MB
2025-12-16 18:45:27
phosh-osk-data-se-0.42.0-r0.apk
15.09MB
2025-12-16 18:45:27
phosh-osk-data-uk-0.42.0-r0.apk
16.37MB
2025-12-16 18:45:28
phosh-tour-0.50.0-r2.apk
39.53KB
2025-12-15 15:15:03
phosh-tour-lang-0.50.0-r2.apk
32.88KB
2025-12-15 15:15:03
phosh-tour-systemd-0.50.0-r2.apk
1.76KB
2025-12-15 15:15:03
php81-8.1.34-r0.apk
1.83MB
2025-12-17 04:30:54
php81-apache2-8.1.34-r0.apk
1.79MB
2025-12-17 04:30:55
php81-bcmath-8.1.34-r0.apk
18.24KB
2025-12-17 04:30:55
php81-bz2-8.1.34-r0.apk
9.83KB
2025-12-17 04:30:55
php81-calendar-8.1.34-r0.apk
13.63KB
2025-12-17 04:30:55
php81-cgi-8.1.34-r0.apk
1.79MB
2025-12-17 04:30:55
php81-common-8.1.34-r0.apk
25.16KB
2025-12-17 04:30:55
php81-ctype-8.1.34-r0.apk
4.85KB
2025-12-17 04:30:55
php81-curl-8.1.34-r0.apk
34.41KB
2025-12-17 04:30:55
php81-dba-8.1.34-r0.apk
21.90KB
2025-12-17 04:30:55
php81-dev-8.1.34-r0.apk
938.84KB
2025-12-17 04:30:55
php81-doc-8.1.34-r0.apk
68.33KB
2025-12-17 04:30:55
php81-dom-8.1.34-r0.apk
58.32KB
2025-12-17 04:30:55
php81-embed-8.1.34-r0.apk
1.78MB
2025-12-17 04:30:55
php81-enchant-8.1.34-r0.apk
8.41KB
2025-12-17 04:30:55
php81-exif-8.1.34-r0.apk
33.74KB
2025-12-17 04:30:55
php81-ffi-8.1.34-r0.apk
67.38KB
2025-12-17 04:30:55
php81-fileinfo-8.1.34-r0.apk
380.00KB
2025-12-17 04:30:55
php81-fpm-8.1.34-r0.apk
1.86MB
2025-12-17 04:30:55
php81-ftp-8.1.34-r0.apk
22.21KB
2025-12-17 04:30:55
php81-gd-8.1.34-r0.apk
125.70KB
2025-12-17 04:30:55
php81-gettext-8.1.34-r0.apk
5.75KB
2025-12-17 04:30:55
php81-gmp-8.1.34-r0.apk
20.41KB
2025-12-17 04:30:55
php81-iconv-8.1.34-r0.apk
17.20KB
2025-12-17 04:30:55
php81-imap-8.1.34-r0.apk
32.70KB
2025-12-17 04:30:55
php81-intl-8.1.34-r0.apk
143.20KB
2025-12-17 04:30:55
php81-ldap-8.1.34-r0.apk
31.33KB
2025-12-17 04:30:55
php81-litespeed-8.1.34-r0.apk
1.80MB
2025-12-17 04:30:55
php81-mbstring-8.1.34-r0.apk
587.51KB
2025-12-17 04:30:55
php81-mysqli-8.1.34-r0.apk
41.20KB
2025-12-17 04:30:55
php81-mysqlnd-8.1.34-r0.apk
78.39KB
2025-12-17 04:30:55
php81-odbc-8.1.34-r0.apk
22.64KB
2025-12-17 04:30:55
php81-opcache-8.1.34-r0.apk
67.60KB
2025-12-17 04:30:55
php81-openssl-8.1.34-r0.apk
71.47KB
2025-12-17 04:30:55
php81-pcntl-8.1.34-r0.apk
13.18KB
2025-12-17 04:30:55
php81-pdo-8.1.34-r0.apk
43.53KB
2025-12-17 04:30:55
php81-pdo_dblib-8.1.34-r0.apk
11.97KB
2025-12-17 04:30:55
php81-pdo_mysql-8.1.34-r0.apk
12.63KB
2025-12-17 04:30:55
php81-pdo_odbc-8.1.34-r0.apk
12.25KB
2025-12-17 04:30:55
php81-pdo_pgsql-8.1.34-r0.apk
18.63KB
2025-12-17 04:30:55
php81-pdo_sqlite-8.1.34-r0.apk
12.67KB
2025-12-17 04:30:55
php81-pear-8.1.34-r0.apk
337.89KB
2025-12-17 04:30:55
php81-pecl-amqp-2.1.2-r0.apk
59.21KB
2024-10-25 23:34:18
php81-pecl-apcu-5.1.28-r0.apk
56.88KB
2025-12-07 17:54:19
php81-pecl-ast-1.1.3-r0.apk
21.82KB
2025-08-10 20:42:55
php81-pecl-brotli-0.18.3-r0.apk
15.66KB
2025-12-01 04:45:44
php81-pecl-csv-0.4.3-r0.apk
10.48KB
2025-02-25 17:45:41
php81-pecl-decimal-1.5.0-r1.apk
19.51KB
2024-10-25 23:34:18
php81-pecl-ds-1.6.0-r0.apk
65.72KB
2025-05-07 16:16:34
php81-pecl-event-3.1.4-r0.apk
55.13KB
2024-10-25 23:34:18
php81-pecl-igbinary-3.2.17_rc1-r0.apk
33.49KB
2025-11-27 23:26:40
php81-pecl-imagick-3.8.1-r0.apk
123.48KB
2025-11-28 02:05:30
php81-pecl-imagick-dev-3.8.1-r0.apk
2.29KB
2025-11-28 02:05:30
php81-pecl-immutable_cache-6.1.0-r0.apk
40.85KB
2024-10-25 23:34:18
php81-pecl-jsmin-3.0.0-r0.apk
11.14KB
2024-10-25 23:34:18
php81-pecl-luasandbox-4.1.2-r0.apk
32.25KB
2024-10-25 23:34:18
php81-pecl-lzf-1.7.0-r0.apk
7.72KB
2024-10-25 23:34:18
php81-pecl-mailparse-3.1.9-r0.apk
25.12KB
2025-09-30 15:39:40
php81-pecl-maxminddb-1.13.0-r0.apk
8.47KB
2025-11-21 02:43:01
php81-pecl-mcrypt-1.0.9-r0.apk
15.94KB
2025-08-05 15:42:17
php81-pecl-memcache-8.2-r1.apk
44.99KB
2024-10-25 23:34:18
php81-pecl-memcached-3.4.0-r0.apk
49.37KB
2025-10-13 13:35:00
php81-pecl-memprof-3.1.0-r0.apk
14.48KB
2025-02-24 19:23:33
php81-pecl-mongodb-2.1.4-r0.apk
856.58KB
2025-10-08 23:44:43
php81-pecl-msgpack-3.0.0-r0.apk
27.37KB
2024-10-25 23:34:18
php81-pecl-oauth-2.0.10-r0.apk
36.81KB
2025-10-09 21:37:41
php81-pecl-opentelemetry-1.2.1-r0.apk
13.61KB
2025-10-05 02:15:02
php81-pecl-pcov-1.0.12-r0.apk
10.06KB
2024-12-04 19:17:44
php81-pecl-protobuf-4.32.1-r0.apk
160.34KB
2025-10-01 05:00:18
php81-pecl-psr-1.2.0-r0.apk
19.37KB
2024-10-25 23:34:18
php81-pecl-rdkafka-6.0.5-r0.apk
40.25KB
2024-11-04 14:52:15
php81-pecl-redis-6.3.0-r0.apk
203.45KB
2025-11-07 18:49:30
php81-pecl-smbclient-1.2.0_pre-r0.apk
22.45KB
2024-12-10 21:02:08
php81-pecl-ssh2-1.4.1-r0.apk
29.59KB
2024-10-25 23:34:18
php81-pecl-swoole-6.1.6-r0.apk
0.97MB
2025-12-28 22:31:16
php81-pecl-swoole-dev-6.1.6-r0.apk
220.25KB
2025-12-28 22:31:16
php81-pecl-timezonedb-2025.2-r0.apk
193.81KB
2025-03-27 13:06:46
php81-pecl-uploadprogress-2.0.2-r1.apk
6.82KB
2024-10-25 23:34:18
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
9.92KB
2024-10-25 23:34:18
php81-pecl-uuid-1.3.0-r0.apk
6.83KB
2025-05-13 02:18:16
php81-pecl-vips-1.0.13-r0.apk
18.08KB
2024-10-25 23:34:18
php81-pecl-xdebug-3.5.0-r0.apk
160.79KB
2025-12-05 00:56:51
php81-pecl-xhprof-2.3.10-r0.apk
13.21KB
2024-10-25 23:34:18
php81-pecl-xhprof-assets-2.3.10-r0.apk
800.61KB
2024-10-25 23:34:18
php81-pecl-xlswriter-1.5.8-r0.apk
233.02KB
2024-11-11 03:47:44
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
38.21KB
2024-10-25 23:34:18
php81-pecl-yaml-2.3.0-r0.apk
19.40KB
2025-11-14 20:02:15
php81-pecl-zephir_parser-1.8.0-r0.apk
65.13KB
2025-09-29 22:34:34
php81-pecl-zstd-0.15.2-r0.apk
18.12KB
2025-09-09 21:43:37
php81-pgsql-8.1.34-r0.apk
45.40KB
2025-12-17 04:30:55
php81-phar-8.1.34-r0.apk
116.76KB
2025-12-17 04:30:55
php81-phpdbg-8.1.34-r0.apk
1.86MB
2025-12-17 04:30:55
php81-posix-8.1.34-r0.apk
10.91KB
2025-12-17 04:30:55
php81-pspell-8.1.34-r0.apk
7.94KB
2025-12-17 04:30:55
php81-session-8.1.34-r0.apk
35.58KB
2025-12-17 04:30:55
php81-shmop-8.1.34-r0.apk
5.98KB
2025-12-17 04:30:55
php81-simplexml-8.1.34-r0.apk
22.02KB
2025-12-17 04:30:55
php81-snmp-8.1.34-r0.apk
20.83KB
2025-12-17 04:30:55
php81-soap-8.1.34-r0.apk
137.38KB
2025-12-17 04:30:55
php81-sockets-8.1.34-r0.apk
33.89KB
2025-12-17 04:30:55
php81-sodium-8.1.34-r0.apk
26.11KB
2025-12-17 04:30:55
php81-sqlite3-8.1.34-r0.apk
20.52KB
2025-12-17 04:30:55
php81-sysvmsg-8.1.34-r0.apk
7.56KB
2025-12-17 04:30:55
php81-sysvsem-8.1.34-r0.apk
5.53KB
2025-12-17 04:30:55
php81-sysvshm-8.1.34-r0.apk
6.61KB
2025-12-17 04:30:55
php81-tidy-8.1.34-r0.apk
18.72KB
2025-12-17 04:30:55
php81-tokenizer-8.1.34-r0.apk
11.49KB
2025-12-17 04:30:55
php81-xml-8.1.34-r0.apk
18.01KB
2025-12-17 04:30:55
php81-xmlreader-8.1.34-r0.apk
13.16KB
2025-12-17 04:30:55
php81-xmlwriter-8.1.34-r0.apk
12.88KB
2025-12-17 04:30:55
php81-xsl-8.1.34-r0.apk
13.22KB
2025-12-17 04:30:55
php81-zip-8.1.34-r0.apk
25.17KB
2025-12-17 04:30:55
php82-8.2.30-r1.apk
1.87MB
2025-12-17 22:23:30
php82-apache2-8.2.30-r1.apk
1.83MB
2025-12-17 22:23:30
php82-bcmath-8.2.30-r1.apk
18.23KB
2025-12-17 22:23:30
php82-bz2-8.2.30-r1.apk
9.81KB
2025-12-17 22:23:30
php82-calendar-8.2.30-r1.apk
13.73KB
2025-12-17 22:23:30
php82-cgi-8.2.30-r1.apk
1.83MB
2025-12-17 22:23:30
php82-common-8.2.30-r1.apk
25.26KB
2025-12-17 22:23:30
php82-ctype-8.2.30-r1.apk
4.82KB
2025-12-17 22:23:30
php82-curl-8.2.30-r1.apk
36.56KB
2025-12-17 22:23:30
php82-dba-8.2.30-r1.apk
22.56KB
2025-12-17 22:23:30
php82-dbg-8.2.30-r1.apk
42.73MB
2025-12-17 22:23:33
php82-dev-8.2.30-r1.apk
968.09KB
2025-12-17 22:23:33
php82-doc-8.2.30-r1.apk
73.16KB
2025-12-17 22:23:33
php82-dom-8.2.30-r1.apk
59.66KB
2025-12-17 22:23:33
php82-embed-8.2.30-r1.apk
1.83MB
2025-12-17 22:23:33
php82-enchant-8.2.30-r1.apk
8.38KB
2025-12-17 22:23:33
php82-exif-8.2.30-r1.apk
33.71KB
2025-12-17 22:23:33
php82-ffi-8.2.30-r1.apk
68.76KB
2025-12-17 22:23:33
php82-fileinfo-8.2.30-r1.apk
380.25KB
2025-12-17 22:23:33
php82-fpm-8.2.30-r1.apk
1.90MB
2025-12-17 22:23:33
php82-ftp-8.2.30-r1.apk
22.50KB
2025-12-17 22:23:33
php82-gd-8.2.30-r1.apk
125.67KB
2025-12-17 22:23:33
php82-gettext-8.2.30-r1.apk
5.79KB
2025-12-17 22:23:33
php82-gmp-8.2.30-r1.apk
21.04KB
2025-12-17 22:23:33
php82-iconv-8.2.30-r1.apk
17.45KB
2025-12-17 22:23:33
php82-imap-8.2.30-r1.apk
32.97KB
2025-12-17 22:23:33
php82-intl-8.2.30-r1.apk
159.90KB
2025-12-17 22:23:33
php82-ldap-8.2.30-r1.apk
31.98KB
2025-12-17 22:23:33
php82-litespeed-8.2.30-r1.apk
1.84MB
2025-12-17 22:23:33
php82-mbstring-8.2.30-r1.apk
644.73KB
2025-12-17 22:23:33
php82-mysqli-8.2.30-r1.apk
42.32KB
2025-12-17 22:23:33
php82-mysqlnd-8.2.30-r1.apk
78.59KB
2025-12-17 22:23:33
php82-odbc-8.2.30-r1.apk
23.64KB
2025-12-17 22:23:33
php82-opcache-8.2.30-r1.apk
69.44KB
2025-12-17 22:23:33
php82-openssl-8.2.30-r1.apk
73.40KB
2025-12-17 22:23:33
php82-pcntl-8.2.30-r1.apk
14.55KB
2025-12-17 22:23:33
php82-pdo-8.2.30-r1.apk
45.06KB
2025-12-17 22:23:33
php82-pdo_dblib-8.2.30-r1.apk
11.94KB
2025-12-17 22:23:33
php82-pdo_mysql-8.2.30-r1.apk
12.61KB
2025-12-17 22:23:33
php82-pdo_odbc-8.2.30-r1.apk
12.90KB
2025-12-17 22:23:33
php82-pdo_pgsql-8.2.30-r1.apk
18.78KB
2025-12-17 22:23:33
php82-pdo_sqlite-8.2.30-r1.apk
12.64KB
2025-12-17 22:23:33
php82-pear-8.2.30-r1.apk
337.90KB
2025-12-17 22:23:33
php82-pecl-amqp-2.1.2-r0.apk
59.56KB
2025-10-24 05:09:27
php82-pecl-apcu-5.1.28-r0.apk
57.20KB
2025-12-07 17:54:19
php82-pecl-apfd-1.0.3-r0.apk
4.55KB
2024-10-25 23:34:18
php82-pecl-ast-1.1.3-r0.apk
22.00KB
2025-10-24 05:09:27
php82-pecl-brotli-0.18.3-r0.apk
15.69KB
2025-12-01 04:45:44
php82-pecl-decimal-1.5.0-r1.apk
19.38KB
2025-10-24 05:09:27
php82-pecl-ds-1.6.0-r0.apk
65.75KB
2025-10-24 05:09:27
php82-pecl-event-3.1.4-r0.apk
55.35KB
2025-10-24 05:09:27
php82-pecl-excimer-1.2.5-r0.apk
21.58KB
2025-05-20 18:41:47
php82-pecl-igbinary-3.2.17_rc1-r0.apk
33.65KB
2025-11-27 23:26:40
php82-pecl-imagick-3.8.1-r0.apk
123.61KB
2025-11-28 02:05:30
php82-pecl-imagick-dev-3.8.1-r0.apk
2.30KB
2025-11-28 02:05:30
php82-pecl-immutable_cache-6.1.0-r0.apk
41.08KB
2024-10-25 23:34:18
php82-pecl-jsmin-3.0.0-r0.apk
11.14KB
2024-10-25 23:34:18
php82-pecl-luasandbox-4.1.2-r0.apk
32.22KB
2025-10-24 05:09:27
php82-pecl-lzf-1.7.0-r0.apk
7.64KB
2025-10-24 05:09:27
php82-pecl-mailparse-3.1.9-r0.apk
25.10KB
2025-10-24 05:09:27
php82-pecl-maxminddb-1.13.0-r0.apk
8.48KB
2025-11-21 02:43:01
php82-pecl-mcrypt-1.0.9-r0.apk
15.94KB
2025-10-24 05:09:27
php82-pecl-memcache-8.2-r2.apk
45.27KB
2025-10-24 05:09:27
php82-pecl-memcached-3.4.0-r0.apk
49.65KB
2025-10-24 05:09:27
php82-pecl-mongodb-2.1.4-r0.apk
856.85KB
2025-10-24 05:09:27
php82-pecl-msgpack-3.0.0-r0.apk
27.44KB
2025-10-24 05:09:27
php82-pecl-oauth-2.0.10-r0.apk
36.99KB
2025-10-09 21:37:41
php82-pecl-opentelemetry-1.2.1-r0.apk
13.69KB
2025-10-24 05:09:27
php82-pecl-pcov-1.0.12-r0.apk
10.21KB
2025-10-24 05:09:27
php82-pecl-protobuf-4.32.1-r0.apk
160.38KB
2025-10-24 05:09:27
php82-pecl-psr-1.2.0-r1.apk
19.04KB
2025-10-24 05:09:27
php82-pecl-rdkafka-6.0.5-r0.apk
40.08KB
2025-10-24 05:09:27
php82-pecl-redis-6.3.0-r0.apk
205.19KB
2025-11-07 18:49:30
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
31.62KB
2024-10-25 23:34:18
php82-pecl-smbclient-1.2.0_pre-r0.apk
22.50KB
2025-10-24 05:09:27
php82-pecl-ssh2-1.4.1-r0.apk
29.72KB
2025-10-24 05:09:27
php82-pecl-swoole-6.1.6-r0.apk
0.97MB
2025-12-28 22:31:16
php82-pecl-swoole-dev-6.1.6-r0.apk
220.23KB
2025-12-28 22:31:16
php82-pecl-teds-1.3.0-r0.apk
134.58KB
2024-10-25 23:34:18
php82-pecl-timezonedb-2025.2-r0.apk
193.90KB
2025-10-24 05:09:27
php82-pecl-uploadprogress-2.0.2-r2.apk
6.82KB
2025-10-24 05:09:27
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
9.59KB
2025-10-24 05:09:27
php82-pecl-uuid-1.3.0-r0.apk
6.92KB
2025-10-24 05:09:27
php82-pecl-vips-1.0.13-r0.apk
18.30KB
2025-10-24 05:09:27
php82-pecl-vld-0.19.1-r0.apk
17.38KB
2025-07-20 16:28:16
php82-pecl-xdebug-3.5.0-r0.apk
160.91KB
2025-12-05 00:56:51
php82-pecl-xhprof-2.3.10-r0.apk
13.42KB
2025-10-24 05:09:27
php82-pecl-xhprof-assets-2.3.10-r0.apk
799.87KB
2025-10-24 05:09:27
php82-pecl-xlswriter-1.5.8-r0.apk
233.07KB
2025-10-24 05:09:27
php82-pecl-yaml-2.3.0-r0.apk
19.52KB
2025-11-14 20:02:15
php82-pecl-zephir_parser-1.8.0-r0.apk
65.17KB
2025-09-29 22:34:34
php82-pecl-zstd-0.15.2-r0.apk
18.16KB
2025-10-24 05:09:27
php82-pgsql-8.2.30-r1.apk
45.47KB
2025-12-17 22:23:33
php82-phar-8.2.30-r1.apk
116.64KB
2025-12-17 22:23:33
php82-phpdbg-8.2.30-r1.apk
1.90MB
2025-12-17 22:23:33
php82-posix-8.2.30-r1.apk
10.89KB
2025-12-17 22:23:33
php82-pspell-8.2.30-r1.apk
7.92KB
2025-12-17 22:23:33
php82-session-8.2.30-r1.apk
36.16KB
2025-12-17 22:23:33
php82-shmop-8.2.30-r1.apk
5.95KB
2025-12-17 22:23:33
php82-simplexml-8.2.30-r1.apk
22.07KB
2025-12-17 22:23:33
php82-snappy-0.2.3-r0.apk
5.34KB
2025-04-09 21:19:33
php82-snmp-8.2.30-r1.apk
21.66KB
2025-12-17 22:23:33
php82-soap-8.2.30-r1.apk
139.78KB
2025-12-17 22:23:33
php82-sockets-8.2.30-r1.apk
34.75KB
2025-12-17 22:23:33
php82-sodium-8.2.30-r1.apk
27.37KB
2025-12-17 22:23:33
php82-sqlite3-8.2.30-r1.apk
21.58KB
2025-12-17 22:23:33
php82-sysvmsg-8.2.30-r1.apk
7.81KB
2025-12-17 22:23:33
php82-sysvsem-8.2.30-r1.apk
5.50KB
2025-12-17 22:23:33
php82-sysvshm-8.2.30-r1.apk
6.62KB
2025-12-17 22:23:33
php82-tidy-8.2.30-r1.apk
19.26KB
2025-12-17 22:23:33
php82-tokenizer-8.2.30-r1.apk
11.47KB
2025-12-17 22:23:33
php82-xml-8.2.30-r1.apk
18.15KB
2025-12-17 22:23:33
php82-xmlreader-8.2.30-r1.apk
13.53KB
2025-12-17 22:23:33
php82-xmlwriter-8.2.30-r1.apk
12.87KB
2025-12-17 22:23:33
php82-xsl-8.2.30-r1.apk
13.18KB
2025-12-17 22:23:33
php82-zip-8.2.30-r1.apk
27.35KB
2025-12-17 22:23:33
php83-pecl-apfd-1.0.3-r0.apk
4.55KB
2024-10-25 23:34:18
php83-pecl-eio-3.1.4-r0.apk
31.55KB
2025-07-20 18:26:40
php83-pecl-ev-1.2.2-r0.apk
44.70KB
2025-11-07 19:25:37
php83-pecl-excimer-1.2.5-r0.apk
21.60KB
2025-05-20 18:41:47
php83-pecl-jsmin-3.0.0-r0.apk
11.13KB
2024-10-25 23:34:18
php83-pecl-oauth-2.0.10-r0.apk
37.02KB
2025-10-09 21:37:41
php83-pecl-phpy-1.0.11-r1.apk
45.26KB
2025-05-13 00:26:28
php83-pecl-uv-0.3.0-r0.apk
54.61KB
2024-10-25 23:34:18
php83-pecl-vld-0.19.1-r0.apk
17.38KB
2025-07-20 16:28:16
php83-pecl-zmq-1.1.4-r0.apk
32.92KB
2024-10-25 23:34:18
php84-pecl-csv-0.4.3-r0.apk
10.49KB
2025-02-25 17:45:41
php84-pecl-ev-1.2.2-r0.apk
44.77KB
2025-11-07 19:25:37
php84-pecl-mcrypt-1.0.9-r0.apk
15.95KB
2025-08-05 15:42:17
php84-pecl-memprof-3.1.0-r0.apk
14.50KB
2025-02-24 19:23:33
php84-pecl-oauth-2.0.10-r0.apk
37.05KB
2025-10-09 21:37:41
php84-pecl-phpy-1.0.11-r1.apk
45.31KB
2025-05-13 00:26:28
php84-pecl-solr-2.9.1-r0.apk
95.06KB
2025-11-25 07:10:48
php84-pecl-uv-0.3.0-r0.apk
54.70KB
2024-10-28 14:47:28
php84-pecl-vld-0.19.1-r0.apk
17.70KB
2025-07-20 16:28:16
php84-snappy-0.2.3-r0.apk
5.35KB
2025-04-09 21:19:33
php85-pecl-ev-1.2.2-r0.apk
44.77KB
2025-11-07 19:25:37
php85-pecl-luasandbox-4.1.3-r0.apk
32.64KB
2025-12-20 13:45:38
php85-pecl-oauth-2.0.10-r0.apk
34.68KB
2025-10-09 21:37:41
php85-pecl-solr-2.9.1-r0.apk
95.13KB
2025-11-25 07:10:48
php85-pecl-vld-0.19.1-r1.apk
17.74KB
2025-09-29 22:34:38
php85-snappy-0.2.3-r0.apk
5.36KB
2025-12-25 02:03:56
phpactor-2025.10.17.0-r0.apk
3.58MB
2025-11-19 05:57:41
pick-4.0.0-r0.apk
10.36KB
2024-10-25 23:34:23
pick-doc-4.0.0-r0.apk
3.32KB
2024-10-25 23:34:23
piglit-0_git20241106-r1.apk
89.69MB
2025-05-13 00:26:33
pihole-6.2.3-r0.apk
5.78MB
2025-06-20 21:05:05
pihole-bash-completion-6.2.3-r0.apk
2.18KB
2025-06-20 21:05:05
pihole-doc-6.2.3-r0.apk
3.75KB
2025-06-20 21:05:05
pihole-openrc-6.2.3-r0.apk
1.84KB
2025-06-20 21:05:05
pikchr-cmd-1.0.0-r0.apk
46.54KB
2025-10-25 12:18:43
pikchr-cmd-doc-1.0.0-r0.apk
2.25KB
2025-10-25 12:18:43
pimd-3.0_git20220201-r0.apk
87.12KB
2024-10-25 23:34:27
pimd-dense-2.1.0-r0.apk
53.12KB
2024-10-25 23:34:27
pimd-dense-doc-2.1.0-r0.apk
19.68KB
2024-10-25 23:34:27
pimd-dense-openrc-2.1.0-r0.apk
1.85KB
2024-10-25 23:34:27
pimd-doc-3.0_git20220201-r0.apk
34.76KB
2024-10-25 23:34:27
pimd-openrc-3.0_git20220201-r0.apk
1.62KB
2024-10-25 23:34:27
pinentry-bemenu-0.14.0-r1.apk
9.45KB
2025-07-06 03:15:50
pithos-1.6.1-r0.apk
104.46KB
2024-10-25 23:34:27
pithos-doc-1.6.1-r0.apk
2.09KB
2024-10-25 23:34:27
pithos-pyc-1.6.1-r0.apk
154.28KB
2024-10-25 23:34:27
pixiewps-1.4.2-r2.apk
63.46KB
2025-05-13 00:26:33
pixiewps-doc-1.4.2-r2.apk
3.40KB
2025-05-13 00:26:33
plakar-1.0.6-r1.apk
19.45MB
2025-12-05 00:38:23
plakar-doc-1.0.6-r1.apk
2.08KB
2025-12-05 00:38:23
planarity-4.0.1.0-r0.apk
23.27KB
2025-10-19 08:35:39
planarity-dev-4.0.1.0-r0.apk
23.11KB
2025-10-19 08:35:39
planarity-doc-4.0.1.0-r0.apk
13.10KB
2025-10-19 08:35:39
planarity-libs-4.0.1.0-r0.apk
84.53KB
2025-10-19 08:35:39
planner-0.14.92-r1.apk
360.77KB
2024-12-08 23:41:38
planner-doc-0.14.92-r1.apk
2.16KB
2024-12-08 23:41:38
planner-lang-0.14.92-r1.apk
824.58KB
2024-12-08 23:41:38
platformio-core-6.1.7-r3.apk
262.50KB
2024-10-25 23:34:27
platformio-core-pyc-6.1.7-r3.apk
551.78KB
2024-10-25 23:34:27
plfit-1.0.1-r0.apk
55.67KB
2025-01-04 05:47:52
plfit-dev-1.0.1-r0.apk
6.48KB
2025-01-04 05:47:52
plfit-libs-1.0.1-r0.apk
42.60KB
2025-01-04 05:47:52
plfit-static-1.0.1-r0.apk
47.05KB
2025-01-04 05:47:52
plib-1.8.5-r3.apk
868.39KB
2024-10-25 23:34:27
plots-0.7.0-r1.apk
515.72KB
2024-11-06 11:42:51
plplot-5.15.0-r2.apk
31.16KB
2024-10-25 23:34:27
plplot-dev-5.15.0-r2.apk
59.00KB
2024-10-25 23:34:27
plplot-doc-5.15.0-r2.apk
310.58KB
2024-10-25 23:34:27
plplot-libs-5.15.0-r2.apk
203.32KB
2024-10-25 23:34:28
pmccabe-2.8-r1.apk
23.51KB
2024-10-25 23:34:28
pmccabe-doc-2.8-r1.apk
7.15KB
2024-10-25 23:34:28
pneink-theme-1.3-r0.apk
9.84KB
2025-07-29 23:13:12
pneink-theme-doc-1.3-r0.apk
1.65KB
2025-07-29 23:13:12
pnmixer-0.7.2-r3.apk
142.94KB
2024-10-25 23:34:28
pnmixer-doc-0.7.2-r3.apk
2.30KB
2024-10-25 23:34:28
pnmixer-lang-0.7.2-r3.apk
24.81KB
2024-10-25 23:34:28
pokoy-0.2.5-r0.apk
9.73KB
2024-10-25 23:34:28
pokoy-doc-0.2.5-r0.apk
3.00KB
2024-10-25 23:34:28
policycoreutils-3.6-r1.apk
56.85KB
2024-10-25 23:34:28
policycoreutils-bash-completion-3.6-r1.apk
2.41KB
2024-10-25 23:34:28
policycoreutils-doc-3.6-r1.apk
22.32KB
2024-10-25 23:34:28
policycoreutils-lang-3.6-r1.apk
105.39KB
2024-10-25 23:34:28
pomo-0.8.1-r28.apk
1.71MB
2025-12-05 00:38:23
pomo-doc-0.8.1-r28.apk
2.74KB
2025-12-05 00:38:23
pongoos-loader-0_git20210704-r1.apk
2.37KB
2024-10-25 23:34:28
pop-cursor-theme-3.5.1-r0.apk
12.82MB
2025-03-25 09:55:15
pop-icon-theme-3.5.1-r0.apk
1.27MB
2025-03-25 09:55:15
popeye-0.22.1-r9.apk
28.28MB
2025-12-05 00:38:25
porla-0.41.0-r2.apk
3.54MB
2025-02-24 16:34:47
porla-doc-0.41.0-r2.apk
2.19KB
2025-02-24 16:34:47
porla-openrc-0.41.0-r2.apk
2.69KB
2025-02-24 16:34:47
portsmf-239-r2.apk
59.06KB
2025-10-13 23:02:32
portsmf-dev-239-r2.apk
20.00KB
2025-10-13 23:02:32
postgresql-hll-2.18-r0.apk
27.72KB
2024-10-25 23:34:29
postgresql-hll-bitcode-2.18-r0.apk
57.66KB
2024-10-25 23:34:29
postgresql-pg_variables-1.2.5_git20230922-r1.apk
23.04KB
2025-11-03 18:12:13
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
46.27KB
2025-11-03 18:12:13
postgresql-pgmq-1.1.1-r1.apk
313.91KB
2024-10-25 23:34:29
postgresql16-wal2json-2.6-r0.apk
70.82KB
2024-10-25 23:34:29
pounce-3.1-r4.apk
30.83KB
2025-09-13 01:22:48
pounce-doc-3.1-r4.apk
8.54KB
2025-09-13 01:22:48
pounce-openrc-3.1-r4.apk
2.75KB
2025-09-13 01:22:48
powder-toy-97.0.352-r1.apk
848.38KB
2024-10-25 23:34:29
powerstat-0.04.01-r0.apk
18.89KB
2024-10-25 23:34:29
powerstat-bash-completion-0.04.01-r0.apk
2.30KB
2024-10-25 23:34:29
powerstat-doc-0.04.01-r0.apk
4.26KB
2024-10-25 23:34:29
pptpclient-1.10.0-r6.apk
33.67KB
2025-06-30 10:03:59
pptpclient-doc-1.10.0-r6.apk
7.21KB
2025-06-30 10:03:59
pqiv-2.12-r1.apk
69.99KB
2024-10-25 23:34:30
pqiv-doc-2.12-r1.apk
12.03KB
2024-10-25 23:34:30
predict-2.3.1-r0.apk
98.78KB
2024-11-23 20:56:54
predict-doc-2.3.1-r0.apk
16.09KB
2024-11-23 20:56:54
primecount-7.20-r0.apk
31.21KB
2025-11-25 21:21:02
primecount-dev-7.20-r0.apk
2.09MB
2025-11-25 21:21:02
primecount-doc-7.20-r0.apk
4.21KB
2025-11-25 21:21:02
primecount-libs-7.20-r0.apk
178.68KB
2025-11-25 21:21:02
primesieve-12.10-r0.apk
45.48KB
2025-11-25 21:21:02
primesieve-dev-12.10-r0.apk
1.42MB
2025-11-25 21:21:02
primesieve-doc-12.10-r0.apk
4.07KB
2025-11-25 21:21:02
primesieve-libs-12.10-r0.apk
125.10KB
2025-11-25 21:21:02
prjtrellis-1.4-r2.apk
1.25MB
2024-10-25 23:34:30
prjtrellis-db-0_git20230929-r0.apk
3.30KB
2024-10-25 23:34:30
prjtrellis-db-ecp5-0_git20230929-r0.apk
2.13MB
2024-10-25 23:34:30
prjtrellis-db-machxo-0_git20230929-r0.apk
39.20KB
2024-10-25 23:34:30
prjtrellis-db-machxo2-0_git20230929-r0.apk
0.99MB
2024-10-25 23:34:30
prjtrellis-db-machxo3-0_git20230929-r0.apk
1.07MB
2024-10-25 23:34:30
prjtrellis-db-machxo3d-0_git20230929-r0.apk
747.74KB
2024-10-25 23:34:30
projectm-3.1.12-r2.apk
460.88KB
2024-10-25 23:34:30
projectm-dev-3.1.12-r2.apk
624.85KB
2024-10-25 23:34:30
projectm-presets-3.1.12-r2.apk
4.35MB
2024-10-25 23:34:31
projectm-pulseaudio-3.1.12-r2.apk
435.99KB
2024-10-25 23:34:31
projectm-pulseaudio-doc-3.1.12-r2.apk
2.00KB
2024-10-25 23:34:31
projectm-sdl-3.1.12-r2.apk
340.24KB
2024-10-25 23:34:31
projectsandcastle-loader-0_git20200307-r1.apk
5.01KB
2024-10-25 23:34:31
prometheus-ceph-exporter-4.2.5-r9.apk
3.70MB
2025-12-05 00:38:25
prometheus-ceph-exporter-openrc-4.2.5-r9.apk
1.90KB
2025-12-05 00:38:25
prometheus-fastly-exporter-10.2.0-r0.apk
4.88MB
2025-12-23 23:07:04
prometheus-opnsense-exporter-0.0.11-r2.apk
4.93MB
2025-12-05 00:38:26
prometheus-opnsense-exporter-openrc-0.0.11-r2.apk
2.10KB
2025-12-05 00:38:26
prometheus-podman-exporter-1.18.1-r2.apk
15.28MB
2025-12-05 00:38:26
prometheus-rethinkdb-exporter-1.0.1-r33.apk
4.29MB
2025-12-05 00:38:27
prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk
1.67KB
2025-12-05 00:38:27
prometheus-smartctl-exporter-0.14.0-r5.apk
4.78MB
2025-12-05 00:38:27
prometheus-smartctl-exporter-openrc-0.14.0-r5.apk
1.89KB
2025-12-05 00:38:27
prometheus-smtp2go-exporter-0.1.1-r4.apk
3.52MB
2025-12-05 00:38:27
prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk
1.97KB
2025-12-05 00:38:27
prometheus-unbound-exporter-0.4.6-r5.apk
3.72MB
2025-05-12 07:04:31
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
1.96KB
2025-05-12 07:04:31
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2.82KB
2024-10-25 23:34:33
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
1.80KB
2024-10-25 23:34:33
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2.64KB
2024-10-25 23:34:33
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
1.77KB
2024-10-25 23:34:33
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
3.11KB
2024-10-25 23:34:33
prosody-mod-broadcast-0.11_hg20201208-r0.apk
1.85KB
2024-10-25 23:34:33
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 23:34:33
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
7.21KB
2024-10-25 23:34:33
prosody-mod-conversejs-0.11_hg20201208-r0.apk
3.27KB
2024-10-25 23:34:33
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2.83KB
2024-10-25 23:34:33
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2.89KB
2024-10-25 23:34:33
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
1.95KB
2024-10-25 23:34:33
prosody-mod-log_auth-0.11_hg20201208-r0.apk
1.76KB
2024-10-25 23:34:33
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2.18KB
2024-10-25 23:34:33
prosody-mod-mam-0.11_hg20201208-r0.apk
5.88KB
2024-10-25 23:34:33
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
5.55KB
2024-10-25 23:34:33
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
6.86KB
2024-10-25 23:34:33
prosody-mod-pastebin-0.11_hg20201208-r0.apk
3.72KB
2024-10-25 23:34:33
prosody-mod-register_json-0.11_hg20201208-r0.apk
103.51KB
2024-10-25 23:34:33
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2.71KB
2024-10-25 23:34:33
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 23:34:33
prosody-mod-require_otr-0.11_hg20201208-r0.apk
1.71KB
2024-10-25 23:34:33
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2.02KB
2024-10-25 23:34:33
prosody-mod-saslname-0.11_hg20201208-r0.apk
1.61KB
2024-10-25 23:34:33
prosody-mod-server_status-0.11_hg20201208-r0.apk
2.77KB
2024-10-25 23:34:33
prosody-mod-smacks-0.11_hg20201208-r0.apk
8.58KB
2024-10-25 23:34:33
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2.03KB
2024-10-25 23:34:33
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2.03KB
2024-10-25 23:34:33
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2.66KB
2024-10-25 23:34:33
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2.64KB
2024-10-25 23:34:33
prosody-modules-0.11_hg20201208-r0.apk
1.45KB
2024-10-25 23:34:33
protoc-gen-bq-schema-3.1.0-r0.apk
1.67MB
2025-12-24 17:24:10
protoc-gen-go-1.36.10-r1.apk
2.35MB
2025-12-05 00:38:27
protoc-gen-go-grpc-1.77.0-r0.apk
2.26MB
2025-12-22 17:14:17
protoc-gen-gorm-1.1.5-r0.apk
2.48MB
2025-12-24 17:02:16
protoconf-0.1.7-r18.apk
7.83MB
2025-12-05 00:38:27
psftools-1.1.2-r0.apk
284.57KB
2024-10-25 23:34:34
psftools-dev-1.1.2-r0.apk
83.94KB
2024-10-25 23:34:34
psftools-doc-1.1.2-r0.apk
59.64KB
2024-10-25 23:34:34
psi-notify-1.3.1-r0.apk
10.43KB
2024-10-25 23:34:34
pspp-2.0.1-r1.apk
10.01MB
2025-10-06 12:09:58
pspp-dbg-2.0.1-r1.apk
4.38MB
2025-10-06 12:09:58
pspp-doc-2.0.1-r1.apk
9.00KB
2025-10-06 12:09:58
psst-0_git20240526-r1.apk
8.16MB
2024-10-25 23:34:35
ptouch-print-1.7-r0.apk
29.18KB
2025-09-13 16:00:28
ptouch-print-doc-1.7-r0.apk
3.13KB
2025-09-13 16:00:28
ptpd-2.3.1-r1.apk
175.20KB
2024-10-25 23:34:35
ptpd-doc-2.3.1-r1.apk
20.28KB
2024-10-25 23:34:35
ptpd-openrc-2.3.1-r1.apk
2.39KB
2024-10-25 23:34:35
ptylie-0.2-r2.apk
12.11KB
2025-05-13 00:26:33
ptylie-doc-0.2-r2.apk
3.16KB
2025-05-13 00:26:33
pug-0.6.5-r0.apk
3.56MB
2025-12-05 00:38:28
pully-1.0.0-r0.apk
2.53KB
2024-10-25 23:34:35
pully-openrc-1.0.0-r0.apk
1.72KB
2024-10-25 23:34:35
pulsar-client-cpp-3.7.1-r0.apk
1.42MB
2025-06-12 16:21:10
pulsar-client-cpp-dev-3.7.1-r0.apk
64.46KB
2025-06-12 16:21:10
pulseview-0.4.2-r8.apk
0.97MB
2024-10-25 23:34:35
pulseview-doc-0.4.2-r8.apk
3.66KB
2024-10-25 23:34:35
punch-0.1.1-r0.apk
29.57KB
2025-12-10 01:40:46
punch-pyc-0.1.1-r0.apk
30.53KB
2025-12-10 01:40:46
pure-1.23.0-r0.apk
18.31KB
2025-10-20 15:16:13
pure-doc-1.23.0-r0.apk
7.91KB
2025-10-20 15:16:13
purple-facebook-0.9.6-r0.apk
78.25KB
2024-10-25 23:34:35
purple-hangouts-0_git20200422-r0.apk
245.91KB
2024-10-25 23:34:35
pwauth-2.3.11-r2.apk
3.78KB
2024-10-25 23:34:35
pwauth-doc-2.3.11-r2.apk
6.80KB
2024-10-25 23:34:35
pxalarm-3.0.0-r0.apk
2.88KB
2024-10-25 23:34:35
pxmenu-1.0.0-r1.apk
2.88KB
2024-10-25 23:34:35
py3-actdiag-3.0.0-r5.apk
17.27KB
2024-10-25 23:34:35
py3-actdiag-pyc-3.0.0-r5.apk
21.49KB
2024-10-25 23:34:35
py3-aesedb-0.1.8-r0.apk
34.48KB
2025-11-03 08:50:14
py3-aesedb-examples-0.1.8-r0.apk
3.42KB
2025-11-03 08:50:14
py3-aesedb-pyc-0.1.8-r0.apk
74.96KB
2025-11-03 08:50:15
py3-agithub-2.2.2-r7.apk
18.85KB
2025-03-19 15:13:31
py3-agithub-pyc-2.2.2-r7.apk
21.28KB
2025-03-19 15:13:31
py3-aiodocker-0.21.0-r1.apk
29.32KB
2024-10-25 23:34:35
py3-aiodocker-pyc-0.21.0-r1.apk
60.39KB
2024-10-25 23:34:35
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
445.92KB
2024-10-25 23:34:35
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
51.19KB
2024-10-25 23:34:35
py3-aiohttp-jinja2-1.6-r2.apk
12.39KB
2024-10-25 23:34:35
py3-aiohttp-jinja2-pyc-1.6-r2.apk
9.23KB
2024-10-25 23:34:35
py3-aiohttp-remotes-1.3.0-r0.apk
10.02KB
2024-11-04 14:28:19
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
18.86KB
2024-11-04 14:28:19
py3-aiohttp-session-2.12.1-r0.apk
10.43KB
2024-10-25 23:34:35
py3-aiohttp-session-pyc-2.12.1-r0.apk
14.80KB
2024-10-25 23:34:35
py3-aioopenssl-0.6.0-r4.apk
20.82KB
2024-10-25 23:34:35
py3-aioopenssl-pyc-0.6.0-r4.apk
19.13KB
2024-10-25 23:34:35
py3-aiosasl-0.5.0-r4.apk
29.58KB
2024-10-25 23:34:35
py3-aiosasl-doc-0.5.0-r4.apk
16.45KB
2024-10-25 23:34:35
py3-aiosasl-pyc-0.5.0-r4.apk
23.92KB
2024-10-25 23:34:35
py3-aiosmb-0.4.14-r0.apk
585.43KB
2025-11-03 08:50:15
py3-aiosmb-examples-0.4.14-r0.apk
37.53KB
2025-11-03 08:50:15
py3-aiosmb-pyc-0.4.14-r0.apk
1.16MB
2025-11-03 08:50:17
py3-aiowinreg-0.0.13-r0.apk
21.72KB
2025-11-03 08:50:17
py3-aiowinreg-pyc-0.0.13-r0.apk
44.00KB
2025-11-03 08:50:17
py3-aioxmpp-0.13.3-r3.apk
387.68KB
2024-10-25 23:34:35
py3-aioxmpp-doc-0.13.3-r3.apk
18.34KB
2024-10-25 23:34:35
py3-aioxmpp-pyc-0.13.3-r3.apk
673.12KB
2024-10-25 23:34:35
py3-allfiles-1.0-r8.apk
3.57KB
2024-10-25 23:34:35
py3-allfiles-pyc-1.0-r8.apk
3.27KB
2024-10-25 23:34:35
py3-altgraph-0.17.4-r1.apk
20.73KB
2024-10-25 23:34:35
py3-altgraph-pyc-0.17.4-r1.apk
29.13KB
2024-10-25 23:34:35
py3-ansible-pylibssh-1.2.2-r0.apk
249.06KB
2025-07-18 10:51:41
py3-anyascii-0.3.2-r1.apk
274.73KB
2024-10-25 23:34:35
py3-anyascii-pyc-0.3.2-r1.apk
3.33KB
2024-10-25 23:34:35
py3-apicula-0.11.1-r1.apk
8.48MB
2024-10-25 23:34:35
py3-apicula-pyc-0.11.1-r1.apk
179.48KB
2024-10-25 23:34:36
py3-apio-0.9.5-r0.apk
72.36KB
2024-10-25 23:34:36
py3-apio-pyc-0.9.5-r0.apk
77.20KB
2024-10-25 23:34:36
py3-apsw-3.51.1.0-r0.apk
931.00KB
2025-11-30 22:12:31
py3-apsw-pyc-3.51.1.0-r0.apk
585.98KB
2025-11-30 22:12:31
py3-apt-3.1.0-r0.apk
183.11KB
2025-12-21 05:05:42
py3-apt-lang-3.1.0-r0.apk
79.53KB
2025-12-21 05:05:43
py3-apt-pyc-3.1.0-r0.apk
119.00KB
2025-12-21 05:05:43
py3-arcus-5.3.0-r5.apk
95.54KB
2025-06-12 16:21:10
py3-asif-0.3.2-r3.apk
13.34KB
2024-10-25 23:34:36
py3-asif-pyc-0.3.2-r3.apk
25.88KB
2024-10-25 23:34:36
py3-ask-0.0.8-r8.apk
5.00KB
2024-10-25 23:34:36
py3-ask-pyc-0.0.8-r8.apk
4.47KB
2024-10-25 23:34:36
py3-astral-3.2-r3.apk
37.03KB
2024-10-25 23:34:36
py3-astral-pyc-3.2-r3.apk
58.92KB
2024-10-25 23:34:36
py3-asyauth-0.0.23-r0.apk
84.10KB
2025-11-03 08:50:17
py3-asyauth-pyc-0.0.23-r0.apk
185.72KB
2025-11-03 08:50:17
py3-asysocks-0.2.18-r0.apk
88.31KB
2025-11-03 08:50:17
py3-asysocks-examples-0.2.18-r0.apk
35.65KB
2025-11-03 08:50:17
py3-asysocks-pyc-0.2.18-r0.apk
319.51KB
2025-11-03 08:50:17
py3-avro-1.11.3-r1.apk
97.67KB
2024-10-25 23:34:36
py3-avro-pyc-1.11.3-r1.apk
191.36KB
2024-10-25 23:34:36
py3-b2sdk-2.10.2-r0.apk
227.49KB
2025-12-16 20:42:09
py3-b2sdk-pyc-2.10.2-r0.apk
429.41KB
2025-12-16 20:42:09
py3-banal-1.0.6-r4.apk
6.88KB
2024-10-25 23:34:36
py3-banal-pyc-1.0.6-r4.apk
7.21KB
2024-10-25 23:34:36
py3-bandwidth-sdk-3.1.0-r8.apk
46.00KB
2024-10-25 23:34:36
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
69.20KB
2024-10-25 23:34:36
py3-barcodenumber-0.2.1-r10.apk
16.33KB
2024-10-25 23:34:36
py3-barcodenumber-pyc-0.2.1-r10.apk
4.26KB
2024-10-25 23:34:36
py3-beartype-0.22.9-r0.apk
1.02MB
2025-12-15 20:33:38
py3-beartype-pyc-0.22.9-r0.apk
744.24KB
2025-12-15 20:33:38
py3-bencode-4.0.0-r1.apk
17.09KB
2024-10-25 23:34:36
py3-bencode-pyc-4.0.0-r1.apk
10.47KB
2024-10-25 23:34:36
py3-bibtexparser-1.4.3-r0.apk
40.24KB
2025-01-19 13:58:03
py3-bibtexparser-pyc-1.4.3-r0.apk
48.78KB
2025-01-19 13:58:03
py3-bidict-0.23.1-r1.apk
27.72KB
2024-10-25 23:34:36
py3-bidict-pyc-0.23.1-r1.apk
28.76KB
2024-10-25 23:34:36
py3-bite-parser-0.2.5-r0.apk
13.64KB
2024-10-28 23:51:30
py3-bite-parser-pyc-0.2.5-r0.apk
23.49KB
2024-10-28 23:51:30
py3-bitstruct-8.19.0-r1.apk
36.33KB
2024-10-25 23:34:36
py3-bitstruct-pyc-8.19.0-r1.apk
12.79KB
2024-10-25 23:34:36
py3-bleak-0.22.3-r0.apk
369.81KB
2024-10-25 23:34:36
py3-blockchain-1.4.4-r7.apk
10.97KB
2024-10-25 23:34:36
py3-blockchain-pyc-1.4.4-r7.apk
17.86KB
2024-10-25 23:34:36
py3-blockdiag-3.0.0-r6.apk
68.02KB
2025-01-10 14:29:55
py3-blockdiag-pyc-3.0.0-r6.apk
148.83KB
2025-01-10 14:29:55
py3-blockdiag-tests-3.0.0-r6.apk
2.51MB
2025-01-10 14:29:56
py3-bookkeeper-4.17.2-r0.apk
43.01KB
2025-07-14 00:41:54
py3-bookkeeper-pyc-4.17.2-r0.apk
66.69KB
2025-07-14 00:41:54
py3-bottle-api-0.0.4-r7.apk
4.87KB
2024-10-25 23:34:36
py3-bottle-api-pyc-0.0.4-r7.apk
5.22KB
2024-10-25 23:34:36
py3-bottle-pgsql-0.2-r5.apk
4.27KB
2024-10-25 23:34:36
py3-bottle-redis-0.2.3-r6.apk
3.30KB
2024-10-25 23:34:36
py3-bottle-redis-pyc-0.2.3-r6.apk
3.13KB
2024-10-25 23:34:36
py3-bottle-renderer-0.1.1-r9.apk
3.98KB
2024-10-25 23:34:36
py3-bottle-renderer-pyc-0.1.1-r9.apk
3.74KB
2024-10-25 23:34:36
py3-bottle-request-0.2.0-r9.apk
3.21KB
2024-10-25 23:34:36
py3-bottle-request-pyc-0.2.0-r9.apk
2.60KB
2024-10-25 23:34:36
py3-bottle-rest-0.6.0-r1.apk
6.11KB
2024-10-25 23:34:36
py3-bottle-rest-pyc-0.6.0-r1.apk
5.17KB
2024-10-25 23:34:36
py3-bottle-session-1.0-r6.apk
10.20KB
2024-10-25 23:34:36
py3-bottle-session-pyc-1.0-r6.apk
7.79KB
2024-10-25 23:34:36
py3-bottle-sqlalchemy-0.4.3-r8.apk
4.85KB
2024-10-25 23:34:36
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
5.63KB
2024-10-25 23:34:36
py3-bottle-sqlite-0.2.0-r7.apk
4.70KB
2024-10-25 23:34:36
py3-bottle-sqlite-pyc-0.2.0-r7.apk
5.24KB
2024-10-25 23:34:36
py3-bottle-websocket-0.2.9-r8.apk
4.64KB
2024-10-25 23:34:36
py3-bottle-websocket-pyc-0.2.9-r8.apk
3.13KB
2024-10-25 23:34:36
py3-bottle-werkzeug-0.1.1-r9.apk
4.09KB
2024-10-25 23:34:36
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
4.25KB
2024-10-25 23:34:36
py3-bson-0.5.10-r6.apk
11.69KB
2024-10-25 23:34:36
py3-bson-pyc-0.5.10-r6.apk
18.51KB
2024-10-25 23:34:36
py3-businesstime-0.3.0-r9.apk
10.63KB
2024-10-25 23:34:36
py3-businesstime-pyc-0.3.0-r9.apk
16.34KB
2024-10-25 23:34:36
py3-c3d-0.5.2-r1.apk
32.08KB
2024-10-25 23:34:36
py3-c3d-pyc-0.5.2-r1.apk
53.70KB
2024-10-25 23:34:36
py3-caldav-2.2.3-r0.apk
112.74KB
2025-12-07 21:14:30
py3-caldav-pyc-2.2.3-r0.apk
153.93KB
2025-12-07 21:14:30
py3-cassandra-driver-3.29.2-r0.apk
285.85KB
2024-10-25 23:34:36
py3-cassandra-driver-pyc-3.29.2-r0.apk
559.95KB
2024-10-25 23:34:36
py3-catkin-pkg-0.5.2-r4.apk
57.15KB
2024-10-25 23:34:36
py3-catkin-pkg-pyc-0.5.2-r4.apk
102.63KB
2024-10-25 23:34:36
py3-cchardet-2.1.7-r5.apk
126.83KB
2024-10-25 23:34:36
py3-cchardet-pyc-2.1.7-r5.apk
3.05KB
2024-10-25 23:34:36
py3-cdio-2.1.1-r6.apk
106.06KB
2025-01-20 22:42:48
py3-cdio-pyc-2.1.1-r6.apk
43.15KB
2025-01-20 22:42:48
py3-certauth-1.3.0-r1.apk
8.71KB
2024-10-25 23:34:36
py3-certauth-pyc-1.3.0-r1.apk
9.09KB
2024-10-25 23:34:36
py3-chameleon-4.6.0-r0.apk
97.14KB
2025-07-06 12:16:05
py3-chameleon-pyc-4.6.0-r0.apk
130.63KB
2025-07-06 12:16:05
py3-ciso8601-2.3.3-r0.apk
16.93KB
2025-11-10 19:32:24
py3-cjkwrap-2.2-r6.apk
4.52KB
2025-05-14 22:18:31
py3-cjkwrap-pyc-2.2-r6.apk
5.25KB
2025-05-14 22:18:31
py3-class-doc-1.25-r1.apk
6.06KB
2024-10-25 23:34:36
py3-class-doc-pyc-1.25-r1.apk
8.71KB
2024-10-25 23:34:36
py3-click-completion-0.5.2-r1.apk
10.79KB
2024-10-25 23:34:36
py3-click-completion-pyc-0.5.2-r1.apk
14.25KB
2024-10-25 23:34:36
py3-click-default-group-1.2.4-r1.apk
5.14KB
2024-10-25 23:34:36
py3-click-default-group-pyc-1.2.4-r1.apk
4.53KB
2024-10-25 23:34:36
py3-click-threading-0.5.0-r5.apk
6.35KB
2024-10-25 23:34:36
py3-click-threading-pyc-0.5.0-r5.apk
7.86KB
2024-10-25 23:34:36
py3-clickclick-20.10.2-r4.apk
7.95KB
2024-10-25 23:34:36
py3-clickclick-pyc-20.10.2-r4.apk
9.77KB
2024-10-25 23:34:36
py3-cmd2-2.4.3-r2.apk
139.42KB
2024-10-25 23:34:36
py3-cmd2-pyc-2.4.3-r2.apk
222.61KB
2024-10-25 23:34:36
py3-cobs-1.2.0-r4.apk
16.54KB
2024-10-25 23:34:36
py3-cobs-pyc-1.2.0-r4.apk
12.45KB
2024-10-25 23:34:36
py3-colander-2.0-r2.apk
62.47KB
2024-10-25 23:34:36
py3-colander-pyc-2.0-r2.apk
42.48KB
2024-10-25 23:34:36
py3-colorthief-0.2.1-r1.apk
7.31KB
2024-10-25 23:34:36
py3-colorthief-pyc-0.2.1-r1.apk
10.05KB
2024-10-25 23:34:36
py3-columnize-0.3.11-r4.apk
8.53KB
2024-10-25 23:34:36
py3-columnize-pyc-0.3.11-r4.apk
7.48KB
2024-10-25 23:34:36
py3-compdb-0.2.0-r8.apk
23.31KB
2024-10-25 23:34:36
py3-compdb-doc-0.2.0-r8.apk
3.04KB
2024-10-25 23:34:36
py3-compdb-pyc-0.2.0-r8.apk
39.63KB
2024-10-25 23:34:36
py3-confluent-kafka-2.9.0-r0.apk
190.44KB
2025-05-15 12:44:39
py3-confluent-kafka-pyc-2.9.0-r0.apk
226.82KB
2025-05-15 12:44:39
py3-confusable-homoglyphs-3.3.1-r0.apk
137.14KB
2025-09-29 22:34:38
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
9.36KB
2025-09-29 22:34:38
py3-cookiecutter-2.6.0-r1.apk
35.37KB
2024-10-25 23:34:36
py3-cookiecutter-doc-2.6.0-r1.apk
3.72KB
2024-10-25 23:34:36
py3-cookiecutter-pyc-2.6.0-r1.apk
47.50KB
2024-10-25 23:34:36
py3-coreapi-2.3.3-r9.apk
22.23KB
2024-10-25 23:34:36
py3-coreapi-pyc-2.3.3-r9.apk
43.26KB
2024-10-25 23:34:36
py3-crc16-0.1.1-r10.apk
11.75KB
2024-10-25 23:34:36
py3-crc16-pyc-0.1.1-r10.apk
4.71KB
2024-10-25 23:34:36
py3-createrepo_c-1.1.4-r0.apk
48.06KB
2024-10-25 23:34:36
py3-createrepo_c-pyc-1.1.4-r0.apk
14.52KB
2024-10-25 23:34:36
py3-croniter-6.0.0-r0.apk
25.81KB
2025-08-25 12:05:41
py3-croniter-pyc-6.0.0-r0.apk
25.58KB
2025-08-25 12:05:41
py3-cryptg-0.5.0-r0.apk
219.77KB
2025-05-08 14:05:34
py3-cryptg-pyc-0.5.0-r0.apk
1.91KB
2025-05-08 14:05:34
py3-cssutils-2.11.1-r1.apk
155.20KB
2024-10-25 23:34:36
py3-cssutils-pyc-2.11.1-r1.apk
278.65KB
2024-10-25 23:34:36
py3-cucumber-tag-expressions-6.1.1-r0.apk
8.67KB
2024-12-01 22:14:02
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
10.42KB
2024-12-01 22:14:02
py3-cvxpy-1.2.1-r5.apk
695.60KB
2024-10-25 23:34:36
py3-cvxpy-pyc-1.2.1-r5.apk
936.08KB
2024-10-25 23:34:36
py3-cython-test-exception-raiser-25.11.0-r0.apk
19.23KB
2025-11-11 21:23:20
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
1.84KB
2025-11-11 21:23:20
py3-dash-bootstrap-components-1.6.0-r0.apk
16.49KB
2025-04-15 04:17:33
py3-dataclasses-json-0.6.7-r0.apk
27.41KB
2024-10-25 23:34:36
py3-dataclasses-json-pyc-0.6.7-r0.apk
35.66KB
2024-10-25 23:34:36
py3-dataclasses-serialization-1.3.1-r3.apk
10.96KB
2024-10-25 23:34:36
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
14.27KB
2024-10-25 23:34:36
py3-dateparser-1.2.2-r0.apk
216.22KB
2025-07-06 22:46:23
py3-dateparser-pyc-1.2.2-r0.apk
335.20KB
2025-07-06 22:46:23
py3-daterangestr-0.0.3-r8.apk
4.35KB
2024-10-25 23:34:36
py3-daterangestr-pyc-0.0.3-r8.apk
4.22KB
2024-10-25 23:34:36
py3-dbus-fast-3.1.2-r0.apk
873.55KB
2025-11-23 23:15:31
py3-dbus-fast-doc-3.1.2-r0.apk
6.24KB
2025-11-23 23:15:31
py3-dbus-fast-pyc-3.1.2-r0.apk
130.19KB
2025-11-23 23:15:31
py3-deluge-client-1.10.2-r0.apk
12.89KB
2024-10-25 23:34:36
py3-deluge-client-doc-1.10.2-r0.apk
2.26KB
2024-10-25 23:34:36
py3-deluge-client-pyc-1.10.2-r0.apk
19.66KB
2024-10-25 23:34:36
py3-dexml-0.5.1-r9.apk
22.21KB
2024-10-25 23:34:36
py3-dexml-pyc-0.5.1-r9.apk
37.49KB
2024-10-25 23:34:36
py3-discid-1.3.0-r0.apk
12.54KB
2025-07-29 00:30:10
py3-discid-pyc-1.3.0-r0.apk
13.47KB
2025-07-29 00:30:10
py3-distorm3-3.5.2-r6.apk
47.39KB
2024-10-25 23:34:36
py3-distorm3-pyc-3.5.2-r6.apk
48.65KB
2024-10-25 23:34:36
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
14.65KB
2024-10-25 23:34:36
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
14.91KB
2024-10-25 23:34:36
py3-django-suit-0.2.28-r8.apk
365.76KB
2024-10-25 23:34:36
py3-django-suit-pyc-0.2.28-r8.apk
32.50KB
2024-10-25 23:34:36
py3-django-taggit-serializer-0.1.7-r8.apk
4.02KB
2024-10-25 23:34:36
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
4.96KB
2024-10-25 23:34:36
py3-dnslib-0.9.26-r0.apk
56.39KB
2025-09-01 21:15:32
py3-dnslib-pyc-0.9.26-r0.apk
109.07KB
2025-09-01 21:15:32
py3-dogpile.cache-1.3.3-r1.apk
52.58KB
2025-05-14 21:13:39
py3-dogpile.cache-pyc-1.3.3-r1.apk
90.48KB
2025-05-14 21:13:39
py3-doi-0.2-r0.apk
6.16KB
2025-04-15 04:17:33
py3-doi-pyc-0.2-r0.apk
4.74KB
2025-04-15 04:17:33
py3-doit-0.36.0-r5.apk
76.50KB
2024-10-25 23:34:36
py3-doit-pyc-0.36.0-r5.apk
133.25KB
2024-10-25 23:34:36
py3-dominate-2.9.1-r1.apk
24.75KB
2024-10-25 23:34:36
py3-dominate-pyc-2.9.1-r1.apk
33.73KB
2024-10-25 23:34:36
py3-dotty-dict-1.3.1-r4.apk
8.40KB
2024-10-25 23:34:36
py3-dotty-dict-pyc-1.3.1-r4.apk
8.68KB
2024-10-25 23:34:36
py3-downloader-cli-0.3.4-r2.apk
11.17KB
2025-05-14 21:13:39
py3-downloader-cli-pyc-0.3.4-r2.apk
14.12KB
2025-05-14 21:13:39
py3-dpath-2.2.0-r0.apk
17.03KB
2024-10-25 23:34:36
py3-dpath-pyc-2.2.0-r0.apk
17.77KB
2024-10-25 23:34:36
py3-drf-yasg-1.21.10-r0.apk
4.05MB
2025-07-15 11:26:53
py3-drf-yasg-pyc-1.21.10-r0.apk
98.22KB
2025-07-15 11:26:53
py3-dunamai-1.25.0-r0.apk
26.85KB
2025-07-26 01:24:18
py3-dunamai-pyc-1.25.0-r0.apk
43.85KB
2025-07-26 01:24:18
py3-duniterpy-1.1.1-r3.apk
221.32KB
2024-10-25 23:34:36
py3-dweepy-0.3.0-r7.apk
9.08KB
2024-10-25 23:34:36
py3-dweepy-pyc-0.3.0-r7.apk
6.26KB
2024-10-25 23:34:36
py3-ecbdata-0.1.1-r0.apk
13.47KB
2025-04-15 04:17:33
py3-ecos-2.0.11-r4.apk
27.62KB
2024-10-25 23:34:36
py3-ecos-pyc-2.0.11-r4.apk
3.63KB
2024-10-25 23:34:36
py3-edalize-0.5.4-r0.apk
122.60KB
2024-10-25 23:34:37
py3-edalize-pyc-0.5.4-r0.apk
190.17KB
2024-10-25 23:34:37
py3-editdistance-s-1.0.0-r6.apk
15.04KB
2024-10-25 23:34:37
py3-editdistance-s-pyc-1.0.0-r6.apk
2.03KB
2024-10-25 23:34:37
py3-empy-3.3.4-r7.apk
39.32KB
2024-10-25 23:34:37
py3-empy-pyc-3.3.4-r7.apk
58.49KB
2024-10-25 23:34:37
py3-engineio-4.12.3-r0.apk
48.71KB
2025-11-27 11:36:01
py3-engineio-doc-4.12.3-r0.apk
33.44KB
2025-11-27 11:36:01
py3-engineio-pyc-4.12.3-r0.apk
104.21KB
2025-11-27 11:36:01
py3-enlighten-1.14.1-r0.apk
36.90KB
2025-08-28 12:31:39
py3-enlighten-pyc-1.14.1-r0.apk
46.41KB
2025-08-28 12:31:39
py3-enzyme-0.5.2-r0.apk
22.72KB
2025-07-12 18:35:19
py3-enzyme-pyc-0.5.2-r0.apk
18.80KB
2025-07-12 18:35:19
py3-eradicate-2.3.0-r2.apk
7.57KB
2024-10-25 23:34:37
py3-eradicate-doc-2.3.0-r2.apk
2.52KB
2024-10-25 23:34:37
py3-eradicate-pyc-2.3.0-r2.apk
8.35KB
2024-10-25 23:34:37
py3-euclid3-0.01-r8.apk
14.17KB
2024-10-25 23:34:37
py3-euclid3-pyc-0.01-r8.apk
32.55KB
2024-10-25 23:34:37
py3-evalidate-2.1.3-r0.apk
13.62KB
2025-12-16 16:55:57
py3-evalidate-pyc-2.1.3-r0.apk
12.15KB
2025-12-16 16:55:57
py3-eventlet-0.38.1-r0.apk
332.21KB
2024-12-11 23:41:01
py3-eventlet-pyc-0.38.1-r0.apk
335.64KB
2024-12-11 23:41:01
py3-evohome-client-0.3.9-r0.apk
18.83KB
2025-07-14 00:33:38
py3-evohome-client-pyc-0.3.9-r0.apk
27.30KB
2025-07-14 00:33:38
py3-fastavro-1.12.1-r0.apk
447.41KB
2025-10-14 04:58:56
py3-fastavro-pyc-1.12.1-r0.apk
81.95KB
2025-10-14 04:58:56
py3-fastdiff-0.3.0-r5.apk
38.44KB
2024-10-25 23:34:37
py3-fastdiff-pyc-0.3.0-r5.apk
4.20KB
2024-10-25 23:34:37
py3-feedgen-1.0.0-r1.apk
40.23KB
2024-10-25 23:34:37
py3-feedgen-pyc-1.0.0-r1.apk
61.69KB
2024-10-25 23:34:37
py3-feedgenerator-2.1.0-r2.apk
18.02KB
2024-10-25 23:34:37
py3-feedgenerator-pyc-2.1.0-r2.apk
26.75KB
2024-10-25 23:34:37
py3-ffmpeg-0.2.0-r5.apk
23.63KB
2025-05-14 21:13:39
py3-ffmpeg-pyc-0.2.0-r5.apk
32.61KB
2025-05-14 21:13:39
py3-firmata-1.0.3-r10.apk
14.18KB
2024-10-25 23:34:37
py3-firmata-pyc-1.0.3-r10.apk
20.88KB
2024-10-25 23:34:37
py3-flake8-blind-except-0.2.1-r4.apk
5.21KB
2024-10-25 23:34:37
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2.59KB
2024-10-25 23:34:37
py3-flake8-builtins-2.5.0-r0.apk
12.73KB
2024-12-07 23:51:35
py3-flake8-builtins-pyc-2.5.0-r0.apk
7.88KB
2024-12-07 23:51:35
py3-flake8-copyright-0.2.4-r3.apk
18.24KB
2024-10-25 23:34:37
py3-flake8-copyright-pyc-0.2.4-r3.apk
3.34KB
2024-10-25 23:34:37
py3-flake8-debugger-4.1.2-r4.apk
6.23KB
2024-10-25 23:34:37
py3-flake8-debugger-pyc-4.1.2-r4.apk
5.96KB
2024-10-25 23:34:37
py3-flake8-import-order-0.18.2-r4.apk
15.41KB
2024-10-25 23:34:37
py3-flake8-import-order-pyc-0.18.2-r4.apk
16.77KB
2024-10-25 23:34:37
py3-flake8-isort-7.0.0-r0.apk
17.99KB
2025-10-25 23:13:12
py3-flake8-isort-pyc-7.0.0-r0.apk
5.36KB
2025-10-25 23:13:12
py3-flake8-polyfill-1.0.2-r5.apk
7.07KB
2024-10-25 23:34:37
py3-flake8-polyfill-pyc-1.0.2-r5.apk
5.69KB
2024-10-25 23:34:37
py3-flake8-print-5.0.0-r5.apk
6.72KB
2024-10-25 23:34:37
py3-flake8-print-pyc-5.0.0-r5.apk
4.41KB
2024-10-25 23:34:37
py3-flake8-snippets-0.2-r8.apk
5.32KB
2024-10-25 23:34:37
py3-flake8-snippets-pyc-0.2-r8.apk
3.67KB
2024-10-25 23:34:37
py3-flake8-todo-0.7-r7.apk
3.60KB
2024-10-25 23:34:37
py3-flake8-todo-pyc-0.7-r7.apk
2.23KB
2024-10-25 23:34:37
py3-flask-accept-0.0.7-r0.apk
5.57KB
2025-07-12 18:28:46
py3-flask-accept-pyc-0.0.7-r0.apk
3.68KB
2025-07-12 18:28:46
py3-flask-admin-1.6.1-r3.apk
6.52MB
2024-10-25 23:34:37
py3-flask-admin-pyc-1.6.1-r3.apk
358.29KB
2024-10-25 23:34:37
py3-flask-autorouter-0.2.2-r3.apk
5.09KB
2024-10-25 23:34:37
py3-flask-autorouter-pyc-0.2.2-r3.apk
4.95KB
2024-10-25 23:34:37
py3-flask-basicauth-0.2.0-r9.apk
5.34KB
2024-10-25 23:34:37
py3-flask-basicauth-pyc-0.2.0-r9.apk
4.08KB
2024-10-25 23:34:37
py3-flask-bcrypt-1.0.1-r5.apk
7.14KB
2024-10-25 23:34:37
py3-flask-bcrypt-pyc-1.0.1-r5.apk
5.80KB
2024-10-25 23:34:37
py3-flask-bootstrap-3.3.7.1-r9.apk
448.63KB
2025-05-13 00:26:33
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
10.87KB
2025-05-13 00:26:33
py3-flask-cache-0.13.1-r9.apk
12.77KB
2024-10-25 23:34:37
py3-flask-cache-pyc-0.13.1-r9.apk
18.28KB
2024-10-25 23:34:37
py3-flask-cdn-1.5.3-r8.apk
4.76KB
2024-10-25 23:34:37
py3-flask-cdn-pyc-1.5.3-r8.apk
4.08KB
2024-10-25 23:34:37
py3-flask-components-0.1.1-r9.apk
3.93KB
2024-10-25 23:34:37
py3-flask-components-pyc-0.1.1-r9.apk
3.30KB
2024-10-25 23:34:37
py3-flask-dbconfig-0.3.12-r8.apk
85.69KB
2024-10-25 23:34:37
py3-flask-dbconfig-pyc-0.3.12-r8.apk
6.25KB
2024-10-25 23:34:37
py3-flask-flatpages-0.8.3-r0.apk
10.70KB
2024-12-07 00:58:44
py3-flask-flatpages-pyc-0.8.3-r0.apk
13.65KB
2024-12-07 00:58:44
py3-flask-gzip-0.2-r8.apk
3.18KB
2024-10-25 23:34:37
py3-flask-gzip-pyc-0.2-r8.apk
2.83KB
2024-10-25 23:34:37
py3-flask-headers-1.0-r9.apk
3.20KB
2024-10-25 23:34:37
py3-flask-headers-pyc-1.0-r9.apk
2.45KB
2024-10-25 23:34:37
py3-flask-httpauth-4.8.0-r3.apk
7.84KB
2025-11-29 13:38:19
py3-flask-httpauth-pyc-4.8.0-r3.apk
10.59KB
2025-11-29 13:38:19
py3-flask-json-schema-0.0.5-r4.apk
4.09KB
2024-10-25 23:34:37
py3-flask-json-schema-pyc-0.0.5-r4.apk
3.43KB
2024-10-25 23:34:37
py3-flask-limiter-3.10.1-r0.apk
26.61KB
2025-01-19 19:09:11
py3-flask-limiter-pyc-3.10.1-r0.apk
46.98KB
2025-01-19 19:09:11
py3-flask-loopback-1.4.7-r7.apk
5.57KB
2024-10-25 23:34:37
py3-flask-loopback-pyc-1.4.7-r7.apk
7.92KB
2024-10-25 23:34:37
py3-flask-mailman-1.1.1-r0.apk
16.47KB
2024-10-25 23:34:37
py3-flask-mailman-pyc-1.1.1-r0.apk
25.74KB
2024-10-25 23:34:37
py3-flask-markdown-0.3-r8.apk
5.62KB
2024-10-25 23:34:37
py3-flask-markdown-pyc-0.3-r8.apk
3.86KB
2024-10-25 23:34:37
py3-flask-migrate-4.1.0-r0.apk
13.31KB
2025-07-14 00:53:03
py3-flask-migrate-pyc-4.1.0-r0.apk
18.41KB
2025-07-14 00:53:03
py3-flask-paginate-0.8.1-r6.apk
8.21KB
2024-10-25 23:34:37
py3-flask-paginate-pyc-0.8.1-r6.apk
11.19KB
2024-10-25 23:34:37
py3-flask-peewee-3.0.6-r0.apk
172.04KB
2024-10-25 23:34:37
py3-flask-peewee-pyc-3.0.6-r0.apk
95.48KB
2024-10-25 23:34:37
py3-flask-qrcode-3.2.0-r0.apk
18.03KB
2024-12-12 08:32:12
py3-flask-qrcode-pyc-3.2.0-r0.apk
6.15KB
2024-12-12 08:32:12
py3-flask-restaction-0.25.3-r8.apk
114.85KB
2024-10-25 23:34:37
py3-flask-restaction-pyc-0.25.3-r8.apk
19.81KB
2024-10-25 23:34:37
py3-flask-restless-0.17.0-r9.apk
40.48KB
2024-10-25 23:34:37
py3-flask-restless-pyc-0.17.0-r9.apk
59.06KB
2024-10-25 23:34:37
py3-flask-security-5.6.2-r0.apk
296.74KB
2025-11-10 05:17:17
py3-flask-security-pyc-5.6.2-r0.apk
227.22KB
2025-11-10 05:17:17
py3-flask-socketio-5.5.1-r0.apk
18.35KB
2025-09-06 02:29:37
py3-flask-socketio-doc-5.5.1-r0.apk
23.02KB
2025-09-06 02:29:37
py3-flask-socketio-pyc-5.5.1-r0.apk
25.95KB
2025-09-06 02:29:37
py3-flask-themer-2.0.0-r2.apk
7.89KB
2024-10-25 23:34:37
py3-flask-themer-pyc-2.0.0-r2.apk
6.97KB
2024-10-25 23:34:37
py3-forbiddenfruit-0.1.4-r2.apk
8.96KB
2024-10-25 23:34:37
py3-forbiddenfruit-pyc-0.1.4-r2.apk
9.66KB
2024-10-25 23:34:37
py3-fpdf-1.7.2-r5.apk
39.72KB
2024-10-25 23:34:37
py3-fpdf-pyc-1.7.2-r5.apk
89.18KB
2024-10-25 23:34:37
py3-freetype-py-2.5.1-r0.apk
160.94KB
2024-10-25 23:34:37
py3-furl-2.1.4-r0.apk
27.53KB
2025-07-14 00:52:21
py3-furl-pyc-2.1.4-r0.apk
32.49KB
2025-07-14 00:52:21
py3-geoip-1.3.2-r4.apk
23.37KB
2024-10-25 23:34:37
py3-gevent-websocket-0.10.1-r8.apk
19.74KB
2024-10-25 23:34:37
py3-gevent-websocket-pyc-0.10.1-r8.apk
30.36KB
2024-10-25 23:34:37
py3-git-versioner-7.1-r1.apk
11.97KB
2024-10-25 23:34:37
py3-git-versioner-pyc-7.1-r1.apk
13.51KB
2024-10-25 23:34:37
py3-github3-4.0.1-r1.apk
128.26KB
2024-10-25 23:34:37
py3-github3-pyc-4.0.1-r1.apk
226.98KB
2024-10-25 23:34:37
py3-glob2-0.7-r6.apk
10.26KB
2024-10-25 23:34:37
py3-glob2-pyc-0.7-r6.apk
12.91KB
2024-10-25 23:34:37
py3-gls-1.3.1-r1.apk
46.77KB
2024-10-25 23:34:37
py3-gls-pyc-1.3.1-r1.apk
84.13KB
2024-10-25 23:34:37
py3-google-trans-new-1.1.9-r2.apk
9.23KB
2024-10-25 23:34:37
py3-google-trans-new-pyc-1.1.9-r2.apk
10.58KB
2024-10-25 23:34:37
py3-googletrans-3.0.0-r5.apk
15.48KB
2024-10-25 23:34:37
py3-googletrans-pyc-3.0.0-r5.apk
17.43KB
2024-10-25 23:34:37
py3-grequests-0.7.0-r3.apk
6.72KB
2025-05-14 21:13:39
py3-grequests-pyc-0.7.0-r3.apk
5.84KB
2025-05-14 21:13:39
py3-gtkspellcheck-5.0.3-r1.apk
44.78KB
2025-08-09 03:44:10
py3-gtkspellcheck-pyc-5.0.3-r1.apk
29.62KB
2025-08-09 03:44:10
py3-halo-0.0.31-r5.apk
11.46KB
2024-10-25 23:34:37
py3-halo-pyc-0.0.31-r5.apk
13.92KB
2024-10-25 23:34:37
py3-hatch-openzim-0.2.0-r0.apk
24.51KB
2024-10-25 23:34:37
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
24.18KB
2024-10-25 23:34:37
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
12.69KB
2024-10-25 23:34:37
py3-hatch-openzim-pyc-0.2.0-r0.apk
13.00KB
2024-10-25 23:34:37
py3-helper-2.5.0-r5.apk
18.77KB
2024-10-25 23:34:37
py3-helper-pyc-2.5.0-r5.apk
28.18KB
2024-10-25 23:34:37
py3-hfst-3.16.2-r0.apk
387.04KB
2025-03-28 18:13:01
py3-hg-git-1.1.1-r1.apk
70.10KB
2024-10-25 23:34:37
py3-hg-git-pyc-1.1.1-r1.apk
106.79KB
2024-10-25 23:34:37
py3-highctidh-1.0.2024092800-r0.apk
389.64KB
2024-11-25 21:52:12
py3-highctidh-pyc-1.0.2024092800-r0.apk
11.58KB
2024-11-25 21:52:12
py3-himitsu-0.0.9-r0.apk
5.81KB
2025-08-25 13:56:25
py3-himitsu-pyc-0.0.9-r0.apk
7.54KB
2025-08-25 13:56:25
py3-hishel-0.1.4-r0.apk
76.85KB
2025-10-15 15:50:21
py3-hishel-pyc-0.1.4-r0.apk
143.55KB
2025-10-15 15:50:21
py3-html5-parser-0.4.12-r1.apk
184.29KB
2024-10-25 23:34:37
py3-html5-parser-pyc-0.4.12-r1.apk
22.27KB
2024-10-25 23:34:37
py3-hurry.filesize-0.9-r8.apk
4.61KB
2024-10-25 23:34:37
py3-hurry.filesize-pyc-0.9-r8.apk
3.26KB
2024-10-25 23:34:37
py3-icalendar-searcher-1.0.3-r0.apk
34.96KB
2025-12-07 21:14:30
py3-icalendar-searcher-pyc-1.0.3-r0.apk
25.81KB
2025-12-07 21:14:30
py3-igraph-1.0.0-r0.apk
427.30KB
2025-10-28 04:32:48
py3-igraph-dev-1.0.0-r0.apk
2.60KB
2025-10-28 04:32:48
py3-igraph-pyc-1.0.0-r0.apk
374.39KB
2025-10-28 04:32:48
py3-imageio-ffmpeg-0.4.9-r1.apk
16.49KB
2024-10-25 23:34:37
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
20.26KB
2024-10-25 23:34:37
py3-imdbpy-2021.4.18-r5.apk
229.34KB
2024-10-25 23:34:37
py3-imdbpy-pyc-2021.4.18-r5.apk
242.58KB
2024-10-25 23:34:37
py3-incoming-0.3.1-r8.apk
12.83KB
2024-10-25 23:34:37
py3-incoming-pyc-0.3.1-r8.apk
19.95KB
2024-10-25 23:34:37
py3-infinity-1.5-r6.apk
4.38KB
2024-10-25 23:34:37
py3-infinity-pyc-1.5-r6.apk
3.74KB
2024-10-25 23:34:37
py3-iniparse-0.5-r7.apk
18.69KB
2024-10-25 23:34:37
py3-iniparse-doc-0.5-r7.apk
10.34KB
2024-10-25 23:34:37
py3-iniparse-pyc-0.5-r7.apk
24.62KB
2024-10-25 23:34:37
py3-intervals-0.9.2-r5.apk
9.45KB
2024-10-25 23:34:37
py3-intervals-pyc-0.9.2-r5.apk
15.17KB
2024-10-25 23:34:37
py3-ioctl-opt-1.3-r0.apk
11.62KB
2025-01-27 23:52:04
py3-ioctl-opt-pyc-1.3-r0.apk
4.65KB
2025-01-27 23:52:04
py3-irc-20.4.1-r1.apk
40.08KB
2025-10-06 09:09:34
py3-irc-pyc-20.4.1-r1.apk
70.27KB
2025-10-06 09:09:34
py3-isbnlib-3.10.14-r0.apk
42.66KB
2025-01-19 13:58:03
py3-isbnlib-pyc-3.10.14-r0.apk
66.68KB
2025-01-19 13:58:03
py3-iso639-lang-2.6.3-r0.apk
310.90KB
2025-12-05 00:38:28
py3-iso639-lang-pyc-2.6.3-r0.apk
12.24KB
2025-12-05 00:38:28
py3-itemadapter-0.10.0-r0.apk
11.25KB
2024-11-30 22:42:43
py3-itemadapter-pyc-0.10.0-r0.apk
12.67KB
2024-11-30 22:42:43
py3-itemloaders-1.3.2-r1.apk
12.30KB
2025-08-09 03:44:10
py3-itemloaders-pyc-1.3.2-r1.apk
16.51KB
2025-08-09 03:44:10
py3-iterable-io-1.0.0-r0.apk
6.04KB
2024-10-25 23:34:37
py3-iterable-io-pyc-1.0.0-r0.apk
5.25KB
2024-10-25 23:34:37
py3-itunespy-1.6-r5.apk
9.74KB
2025-05-14 21:13:39
py3-itunespy-pyc-1.6-r5.apk
14.60KB
2025-05-14 21:13:40
py3-janus-1.2.0-r0.apk
12.36KB
2024-12-13 06:57:56
py3-janus-pyc-1.2.0-r0.apk
13.33KB
2024-12-13 06:57:56
py3-jaraco.logging-3.4.0-r0.apk
5.32KB
2025-06-18 01:12:46
py3-jaraco.logging-pyc-3.4.0-r0.apk
6.11KB
2025-06-18 01:12:46
py3-jaraco.path-3.7.2-r0.apk
7.62KB
2024-10-25 23:34:37
py3-jaraco.path-pyc-3.7.2-r0.apk
9.52KB
2024-10-25 23:34:37
py3-jaraco.stream-3.0.4-r0.apk
6.71KB
2024-12-15 00:50:35
py3-jaraco.stream-pyc-3.0.4-r0.apk
8.08KB
2024-12-15 00:50:35
py3-jaraco.vcs-2.4.1-r0.apk
10.19KB
2025-03-09 11:02:52
py3-jaraco.vcs-pyc-2.4.1-r0.apk
15.95KB
2025-03-09 11:02:52
py3-jaraco.versioning-1.1.0-r0.apk
5.91KB
2024-10-25 23:34:37
py3-jaraco.versioning-pyc-1.1.0-r0.apk
6.10KB
2024-10-25 23:34:37
py3-joserfc-1.5.0-r0.apk
54.07KB
2025-12-05 00:38:28
py3-joserfc-pyc-1.5.0-r0.apk
110.34KB
2025-12-05 00:38:28
py3-junit-xml-1.9-r3.apk
8.34KB
2024-10-25 23:34:37
py3-junit-xml-pyc-1.9-r3.apk
9.32KB
2024-10-25 23:34:37
py3-kazoo-0_git20211202-r4.apk
125.02KB
2024-10-25 23:34:37
py3-kazoo-pyc-0_git20211202-r4.apk
244.51KB
2024-10-25 23:34:37
py3-keepalive-0.5-r5.apk
8.97KB
2024-10-25 23:34:37
py3-keepalive-doc-0.5-r5.apk
1.99KB
2024-10-25 23:34:37
py3-keepalive-pyc-0.5-r5.apk
13.05KB
2024-10-25 23:34:37
py3-kerberos-1.3.1-r5.apk
18.23KB
2024-10-25 23:34:37
py3-knowit-0.5.11-r0.apk
31.68KB
2025-11-24 01:10:44
py3-knowit-pyc-0.5.11-r0.apk
61.51KB
2025-11-24 01:10:44
py3-landlock-1.0.0_pre4-r2.apk
8.41KB
2024-10-25 23:34:37
py3-landlock-pyc-1.0.0_pre4-r2.apk
9.52KB
2024-10-25 23:34:37
py3-langcodes-3.3.0-r2.apk
173.54KB
2024-10-25 23:34:37
py3-langcodes-pyc-3.3.0-r2.apk
109.73KB
2024-10-25 23:34:37
py3-language-data-1.3.0-r0.apk
4.95MB
2024-12-01 22:08:18
py3-language-data-pyc-1.3.0-r0.apk
2.96MB
2024-12-01 22:08:18
py3-latex2mathml-3.78.1-r1.apk
72.05KB
2025-09-29 22:35:04
py3-latex2mathml-pyc-3.78.1-r1.apk
34.81KB
2025-09-29 22:35:04
py3-lib_users-0.15-r4.apk
15.62KB
2024-10-25 23:34:38
py3-lib_users-pyc-0.15-r4.apk
9.51KB
2024-10-25 23:34:38
py3-libacl-0.7.3-r0.apk
26.93KB
2025-10-17 00:59:41
py3-libguestfs-1.56.1-r0.apk
196.74KB
2025-07-23 01:02:11
py3-libiio-0.25-r2.apk
12.57KB
2024-10-25 23:34:38
py3-liblarch-3.2.0-r6.apk
29.51KB
2024-12-08 23:41:38
py3-liblarch-pyc-3.2.0-r6.apk
49.60KB
2024-12-08 23:41:38
py3-libmdbx-0.10.2-r7.apk
27.89KB
2024-10-25 23:34:38
py3-libmdbx-pyc-0.10.2-r7.apk
32.81KB
2024-10-25 23:34:38
py3-libnacl-2.1.0-r1.apk
20.31KB
2024-10-25 23:34:38
py3-libnacl-pyc-2.1.0-r1.apk
30.35KB
2024-10-25 23:34:38
py3-libpyshell-0.4.1-r1.apk
11.51KB
2025-06-10 12:39:30
py3-libpyshell-pyc-0.4.1-r1.apk
18.30KB
2025-06-10 12:39:30
py3-librtmp-0.3.0-r6.apk
37.58KB
2024-10-25 23:34:38
py3-librtmp-pyc-0.3.0-r6.apk
24.55KB
2024-10-25 23:34:38
py3-limits-3.14.1-r0.apk
33.50KB
2024-12-25 20:44:02
py3-limits-pyc-3.14.1-r0.apk
71.44KB
2024-12-25 20:44:02
py3-linkify-it-py-2.0.3-r1.apk
21.34KB
2024-10-25 23:34:38
py3-linkify-it-py-pyc-2.0.3-r1.apk
23.43KB
2024-10-25 23:34:38
py3-litex-hub-modules-2024.04-r0.apk
1.62KB
2024-10-25 23:34:38
py3-litex-hub-modules-pyc-2024.04-r0.apk
1.11MB
2024-10-25 23:34:38
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
5.55MB
2024-10-25 23:34:38
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
1.93MB
2024-10-25 23:34:38
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
934.14KB
2024-10-25 23:34:38
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
500.02KB
2024-10-25 23:34:38
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
10.15MB
2024-10-25 23:34:39
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
1.80MB
2024-10-25 23:34:39
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
112.10KB
2024-10-25 23:34:39
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
207.86KB
2024-10-25 23:34:39
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
19.46MB
2024-10-25 23:34:40
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
44.82KB
2024-10-25 23:34:40
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
221.40KB
2024-10-25 23:34:40
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
7.56KB
2024-10-25 23:34:40
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
230.29KB
2024-10-25 23:34:40
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
56.79MB
2024-10-25 23:34:43
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
675.21KB
2024-10-25 23:34:43
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
712.63KB
2024-10-25 23:34:43
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2.40MB
2024-10-25 23:34:44
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
57.95KB
2024-10-25 23:34:44
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2.20MB
2024-10-25 23:34:44
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
4.69MB
2024-10-25 23:34:44
py3-litex-hub-valentyusb-2024.04-r0.apk
111.52KB
2024-10-25 23:34:44
py3-livestream-2.1.0-r0.apk
766.24KB
2024-11-26 00:22:53
py3-livestream-pyc-2.1.0-r0.apk
29.89KB
2024-11-26 00:22:53
py3-log-symbols-0.0.14-r5.apk
4.21KB
2024-10-25 23:34:44
py3-log-symbols-pyc-0.0.14-r5.apk
3.07KB
2024-10-25 23:34:44
py3-logtop-0.7-r1.apk
23.04KB
2025-08-09 03:44:10
py3-logtop-pyc-0.7-r1.apk
4.08KB
2025-08-09 03:44:10
py3-lsp-mypy-0.7.0-r1.apk
12.82KB
2025-08-30 04:39:32
py3-lsp-mypy-pyc-0.7.0-r1.apk
12.76KB
2025-08-30 04:39:32
py3-lsprotocol-2023.0.1-r1.apk
69.49KB
2024-10-25 23:34:44
py3-lsprotocol-pyc-2023.0.1-r1.apk
107.12KB
2024-10-25 23:34:44
py3-luhn-0.2.0-r9.apk
3.98KB
2024-10-25 23:34:44
py3-luhn-pyc-0.2.0-r9.apk
2.63KB
2024-10-25 23:34:44
py3-lunr-0.6.2-r4.apk
32.49KB
2024-10-25 23:34:44
py3-lunr-pyc-0.6.2-r4.apk
50.62KB
2024-10-25 23:34:44
py3-lxmf-0.8.0-r0.apk
50.79KB
2025-09-29 22:34:38
py3-lxmf-pyc-0.8.0-r0.apk
109.39KB
2025-09-29 22:34:38
py3-ly-0.9.9-r0.apk
186.60KB
2025-07-13 00:56:29
py3-ly-doc-0.9.9-r0.apk
8.06KB
2025-07-13 00:56:29
py3-ly-pyc-0.9.9-r0.apk
351.99KB
2025-07-13 00:56:29
py3-lzo-1.16-r1.apk
16.78KB
2024-10-25 23:34:44
py3-lzo-pyc-1.16-r1.apk
1.97KB
2024-10-25 23:34:44
py3-m2crypto-0.41.0-r2.apk
212.66KB
2024-10-25 23:34:44
py3-m2crypto-pyc-0.41.0-r2.apk
122.61KB
2024-10-25 23:34:44
py3-maidenhead-1.8.0-r0.apk
7.95KB
2025-08-11 00:12:50
py3-maidenhead-doc-1.8.0-r0.apk
3.69KB
2025-08-11 00:12:50
py3-maidenhead-pyc-1.8.0-r0.apk
7.95KB
2025-08-11 00:12:50
py3-mando-0.8.2-r0.apk
21.56KB
2025-12-18 12:57:07
py3-mando-doc-0.8.2-r0.apk
4.17KB
2025-12-18 12:57:07
py3-mando-pyc-0.8.2-r0.apk
35.33KB
2025-12-18 12:57:07
py3-manuel-1.13.0-r1.apk
39.36KB
2025-10-14 18:05:50
py3-manuel-pyc-1.13.0-r1.apk
25.66KB
2025-10-14 18:05:50
py3-mapbox-earcut-1.0.1-r2.apk
62.29KB
2024-10-25 23:34:44
py3-marisa-trie-1.3.1-r0.apk
139.55KB
2025-08-29 12:32:16
py3-markdown2-2.5.0-r0.apk
47.22KB
2024-10-25 23:34:44
py3-markdown2-pyc-2.5.0-r0.apk
75.49KB
2024-10-25 23:34:44
py3-markdownify-1.2.2-r0.apk
16.17KB
2025-11-27 18:01:02
py3-markdownify-pyc-1.2.2-r0.apk
18.24KB
2025-11-27 18:01:02
py3-marshmallow-3.26.1-r0.apk
47.55KB
2025-02-22 00:39:41
py3-marshmallow-enum-1.5.1-r7.apk
5.29KB
2024-10-25 23:34:44
py3-marshmallow-enum-pyc-1.5.1-r7.apk
4.45KB
2024-10-25 23:34:44
py3-marshmallow-pyc-3.26.1-r0.apk
84.90KB
2025-02-22 00:39:41
py3-mbedtls-2.10.1-r3.apk
974.12KB
2025-05-29 14:59:17
py3-mbedtls-pyc-2.10.1-r3.apk
27.00KB
2025-05-29 14:59:17
py3-meshtastic-2.7.2-r0.apk
539.83KB
2025-09-16 16:46:18
py3-migen-0.9.2-r2.apk
142.61KB
2024-10-25 23:34:44
py3-migen-pyc-0.9.2-r2.apk
295.65KB
2024-10-25 23:34:44
py3-milc-1.9.1-r0.apk
25.99KB
2025-01-25 18:04:53
py3-milc-pyc-1.9.1-r0.apk
41.50KB
2025-01-25 18:04:53
py3-minidb-2.0.8-r0.apk
10.05KB
2024-11-13 20:50:32
py3-minidb-pyc-2.0.8-r0.apk
23.42KB
2024-11-13 20:50:32
py3-minidump-0.0.24-r1.apk
63.53KB
2025-05-29 14:59:17
py3-minidump-pyc-0.0.24-r1.apk
129.10KB
2025-05-29 14:59:17
py3-minikerberos-0.4.9-r0.apk
123.21KB
2025-11-03 08:50:18
py3-minikerberos-examples-0.4.9-r0.apk
17.36KB
2025-11-03 08:50:18
py3-minikerberos-pyc-0.4.9-r0.apk
287.29KB
2025-11-03 08:50:18
py3-minio-7.2.18-r0.apk
74.87KB
2025-11-10 05:18:02
py3-minio-pyc-7.2.18-r0.apk
155.08KB
2025-11-10 05:18:02
py3-mistletoe-1.4.0-r0.apk
44.56KB
2025-03-19 15:13:32
py3-mistletoe-pyc-1.4.0-r0.apk
93.00KB
2025-03-19 15:13:32
py3-mistune1-0.8.4-r6.apk
14.42KB
2025-11-09 20:52:43
py3-mistune1-pyc-0.8.4-r6.apk
21.47KB
2025-11-09 20:52:43
py3-mnemonic-0.21-r0.apk
95.05KB
2024-10-25 23:34:44
py3-mnemonic-doc-0.21-r0.apk
2.40KB
2024-10-25 23:34:44
py3-mnemonic-pyc-0.21-r0.apk
9.69KB
2024-10-25 23:34:44
py3-modbus-tk-1.1.1-r4.apk
24.77KB
2024-10-25 23:34:44
py3-modbus-tk-pyc-1.1.1-r4.apk
48.49KB
2024-10-25 23:34:44
py3-modern_colorthief-0.1.7-r0.apk
783.19KB
2025-06-16 20:58:30
py3-modern_colorthief-pyc-0.1.7-r0.apk
2.60KB
2025-06-16 20:58:30
py3-mopidy-jellyfin-1.0.4-r4.apk
24.54KB
2024-10-25 23:34:44
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
36.65KB
2024-10-25 23:34:44
py3-mopidy-local-3.3.0-r0.apk
27.77KB
2025-01-02 00:12:05
py3-mopidy-local-pyc-3.3.0-r0.apk
34.09KB
2025-01-02 00:12:05
py3-mopidy-mpd-3.3.0-r4.apk
46.01KB
2024-10-25 23:34:44
py3-mopidy-mpd-pyc-3.3.0-r4.apk
73.82KB
2024-10-25 23:34:44
py3-mopidy-tidal-0.3.2-r6.apk
24.75KB
2024-10-25 23:34:44
py3-mopidy-tidal-pyc-0.3.2-r6.apk
35.63KB
2024-10-25 23:34:44
py3-more-properties-1.1.1-r3.apk
7.44KB
2024-10-25 23:34:44
py3-more-properties-pyc-1.1.1-r3.apk
8.22KB
2024-10-25 23:34:44
py3-msldap-0.5.15-r2.apk
126.64KB
2025-10-17 17:41:15
py3-msldap-examples-0.5.15-r2.apk
18.36KB
2025-10-17 17:41:15
py3-msldap-pyc-0.5.15-r2.apk
329.78KB
2025-10-17 17:41:15
py3-mss-10.0.0-r0.apk
50.59KB
2024-11-14 14:57:22
py3-natpmp-1.3.2-r1.apk
9.42KB
2024-10-25 23:34:44
py3-natpmp-pyc-1.3.2-r1.apk
9.86KB
2024-10-25 23:34:44
py3-ncclient-0.6.13-r5.apk
68.21KB
2024-10-25 23:34:44
py3-ncclient-pyc-0.6.13-r5.apk
106.52KB
2024-10-25 23:34:44
py3-netifaces2-0.0.22-r0.apk
224.40KB
2024-10-25 23:34:44
py3-netifaces2-pyc-0.0.22-r0.apk
9.21KB
2024-10-25 23:34:44
py3-netmiko-4.6.0-r0.apk
191.92KB
2025-08-13 18:31:21
py3-netmiko-pyc-4.6.0-r0.apk
372.25KB
2025-08-13 18:31:21
py3-nmap-0.7.1-r4.apk
20.35KB
2024-10-25 23:34:44
py3-nmap-pyc-0.7.1-r4.apk
25.48KB
2024-10-25 23:34:44
py3-nose-timer-1.0.1-r6.apk
9.38KB
2024-10-25 23:34:44
py3-nose-timer-pyc-1.0.1-r6.apk
9.91KB
2024-10-25 23:34:44
py3-notifymail-1.1-r8.apk
7.57KB
2024-10-25 23:34:44
py3-notifymail-pyc-1.1-r8.apk
5.74KB
2024-10-25 23:34:44
py3-nptyping-2.5.0-r3.apk
21.34KB
2024-10-25 23:34:44
py3-nptyping-pyc-2.5.0-r3.apk
32.03KB
2024-10-25 23:34:44
py3-ntplib-0.4.0-r5.apk
7.40KB
2024-10-25 23:34:44
py3-ntplib-pyc-0.4.0-r5.apk
8.63KB
2024-10-25 23:34:44
py3-numpy-stl-3.2.0-r0.apk
21.28KB
2025-07-17 08:57:52
py3-numpy-stl-pyc-3.2.0-r0.apk
27.93KB
2025-07-17 08:57:52
py3-nwdiag-3.0.0-r3.apk
4.92MB
2024-10-25 23:34:45
py3-nwdiag-pyc-3.0.0-r3.apk
77.87KB
2024-10-25 23:34:45
py3-okonomiyaki-2.0.0-r1.apk
7.89MB
2025-10-14 21:13:21
py3-okonomiyaki-pyc-2.0.0-r1.apk
243.08KB
2025-10-14 21:13:21
py3-openapi-codec-1.3.2-r9.apk
7.65KB
2024-10-25 23:34:45
py3-openapi-codec-pyc-1.3.2-r9.apk
11.73KB
2024-10-25 23:34:45
py3-opendht-3.1.11-r0.apk
159.69KB
2025-01-27 22:56:44
py3-openssh-wrapper-0.5_git20130425-r4.apk
8.25KB
2024-10-25 23:34:45
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
10.42KB
2024-10-25 23:34:45
py3-openwisp-utils-1.0.4-r4.apk
497.64KB
2024-10-25 23:34:45
py3-openwisp-utils-pyc-1.0.4-r4.apk
42.27KB
2024-10-25 23:34:45
py3-orderedmultidict-1.0.1-r7.apk
11.87KB
2024-10-25 23:34:45
py3-orderedmultidict-pyc-1.0.1-r7.apk
17.20KB
2024-10-25 23:34:45
py3-osqp-0.6.2-r6.apk
117.01KB
2024-10-25 23:34:45
py3-osqp-dev-0.6.2-r6.apk
49.04KB
2024-10-25 23:34:45
py3-osqp-pyc-0.6.2-r6.apk
76.51KB
2024-10-25 23:34:45
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
9.97KB
2024-10-25 23:34:45
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
8.22KB
2024-10-25 23:34:45
py3-ovos-backend-client-1.0.0-r0.apk
45.92KB
2024-10-25 23:34:45
py3-ovos-backend-client-pyc-1.0.0-r0.apk
91.05KB
2024-10-25 23:34:45
py3-ovos-bus-client-1.3.4-r0.apk
49.96KB
2025-04-25 08:14:16
py3-ovos-bus-client-pyc-1.3.4-r0.apk
89.01KB
2025-04-25 08:14:16
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
102.98KB
2024-10-25 23:34:45
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
163.52KB
2024-10-25 23:34:45
py3-ovos-config-2.1.1-r0.apk
47.22KB
2025-07-15 21:37:32
py3-ovos-config-pyc-2.1.1-r0.apk
34.80KB
2025-07-15 21:37:32
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
357.78KB
2024-10-25 23:34:45
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
436.70KB
2024-10-25 23:34:45
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
8.87KB
2025-07-15 23:02:42
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
4.76KB
2025-07-15 23:02:42
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
550.23KB
2024-10-25 23:34:45
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
86.04KB
2024-10-25 23:34:45
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
46.97KB
2024-10-25 23:34:45
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
103.57KB
2024-10-25 23:34:45
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
7.84KB
2025-07-17 08:57:52
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
3.36KB
2025-07-17 08:57:52
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
11.09KB
2025-07-17 08:57:52
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
8.87KB
2025-07-17 08:57:52
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
8.16KB
2025-07-17 08:57:52
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
3.88KB
2025-07-17 08:57:52
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
8.81KB
2025-07-15 23:02:42
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
5.07KB
2025-07-15 23:02:42
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
4.53KB
2024-10-25 23:34:45
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
4.38KB
2024-10-25 23:34:45
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
95.11KB
2024-11-21 15:31:41
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
11.22KB
2024-11-21 15:31:41
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
11.92KB
2024-11-21 15:31:41
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
9.66KB
2024-11-21 15:31:41
py3-ovos-phal-plugin-system-1.3.3-r0.apk
10.95KB
2025-07-15 19:49:31
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
10.06KB
2025-07-15 19:49:31
py3-ovos-plugin-manager-1.0.3-r0.apk
86.46KB
2025-07-15 22:34:52
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
166.83KB
2025-07-15 22:34:52
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
10.08KB
2024-10-25 23:34:45
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
6.77KB
2024-10-25 23:34:45
py3-ovos-translate-server-plugin-0.0.0-r0.apk
8.33KB
2024-10-25 23:34:45
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
4.11KB
2024-10-25 23:34:45
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
9.51KB
2024-10-25 23:34:45
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
5.44KB
2024-10-25 23:34:45
py3-ovos-utils-0.8.1-r0.apk
72.57KB
2025-07-15 23:02:42
py3-ovos-utils-pyc-0.8.1-r0.apk
130.30KB
2025-07-15 23:02:42
py3-ovos-workshop-7.0.6-r0.apk
92.18KB
2025-07-15 22:29:32
py3-ovos-workshop-pyc-7.0.6-r0.apk
165.47KB
2025-07-15 22:29:32
py3-owslib-0.35.0-r0.apk
195.29KB
2025-11-02 21:15:16
py3-owslib-pyc-0.35.0-r0.apk
424.87KB
2025-11-02 21:15:16
py3-pacparser-1.4.5-r1.apk
426.78KB
2024-10-25 23:34:45
py3-pacparser-pyc-1.4.5-r1.apk
4.03KB
2024-10-25 23:34:45
py3-padacioso-0.2.1-r0.apk
11.45KB
2024-10-25 23:34:45
py3-padacioso-pyc-0.2.1-r0.apk
12.28KB
2024-10-25 23:34:45
py3-pam-2.0.2-r2.apk
11.19KB
2024-10-25 23:34:45
py3-pam-pyc-2.0.2-r2.apk
12.97KB
2024-10-25 23:34:45
py3-pathvalidate-3.3.1-r0.apk
19.09KB
2025-07-12 18:21:48
py3-pathvalidate-pyc-3.3.1-r0.apk
33.59KB
2025-07-12 18:21:48
py3-pbkdf2-1.3-r7.apk
6.29KB
2024-10-25 23:34:45
py3-pbkdf2-pyc-1.3-r7.apk
7.07KB
2024-10-25 23:34:45
py3-pdal-3.4.5-r1.apk
181.08KB
2025-06-22 00:14:15
py3-pdal-pyc-3.4.5-r1.apk
13.09KB
2025-06-22 00:14:15
py3-pdoc-15.0.4-r0.apk
132.77KB
2025-10-13 00:18:55
py3-pdoc-pyc-15.0.4-r0.apk
168.97KB
2025-10-13 00:18:55
py3-pelican-4.9.1-r2.apk
233.72KB
2024-10-25 23:34:45
py3-pelican-pyc-4.9.1-r2.apk
147.30KB
2024-10-25 23:34:45
py3-pep8-naming-0.14.1-r0.apk
9.77KB
2024-10-25 23:34:45
py3-pep8-naming-pyc-0.14.1-r0.apk
13.19KB
2024-10-25 23:34:46
py3-phpserialize-1.3-r8.apk
8.85KB
2024-10-25 23:34:46
py3-phpserialize-pyc-1.3-r8.apk
10.70KB
2024-10-25 23:34:46
py3-phx-class-registry-5.0.0-r0.apk
13.14KB
2024-10-25 23:34:46
py3-phx-class-registry-doc-5.0.0-r0.apk
2.22KB
2024-10-25 23:34:46
py3-phx-class-registry-pyc-5.0.0-r0.apk
17.41KB
2024-10-25 23:34:46
py3-piccata-2.0.3-r1.apk
20.08KB
2024-10-25 23:34:46
py3-piccata-pyc-2.0.3-r1.apk
34.22KB
2024-10-25 23:34:46
py3-pickle-secure-0.99.9-r1.apk
7.50KB
2024-10-25 23:34:46
py3-pickle-secure-pyc-0.99.9-r1.apk
5.36KB
2024-10-25 23:34:46
py3-pillow_heif-0.18.0-r0.apk
46.44KB
2024-10-25 23:34:46
py3-pillow_heif-pyc-0.18.0-r0.apk
35.54KB
2024-10-25 23:34:46
py3-pip-system-certs-4.0-r1.apk
6.99KB
2024-10-25 23:34:46
py3-pip-system-certs-pyc-4.0-r1.apk
4.65KB
2024-10-25 23:34:46
py3-playsound-1.3.0-r1.apk
6.86KB
2024-10-25 23:34:46
py3-playsound-pyc-1.3.0-r1.apk
8.54KB
2024-10-25 23:34:46
py3-plotly-5.24.1-r2.apk
20.49MB
2025-04-19 01:11:52
py3-pltable-1.1.0-r1.apk
18.58KB
2024-11-13 08:31:15
py3-pltable-pyc-1.1.0-r1.apk
33.47KB
2024-11-13 08:31:15
py3-pockethernet-0.7.0-r4.apk
14.79KB
2024-10-25 23:34:46
py3-pockethernet-pyc-0.7.0-r4.apk
25.21KB
2024-10-25 23:34:46
py3-poetry-dynamic-versioning-1.9.1-r0.apk
20.59KB
2025-07-26 02:41:55
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
26.39KB
2025-07-26 02:41:55
py3-poppler-qt5-21.3.0-r2.apk
144.10KB
2025-01-29 20:50:57
py3-ppk2-api-0.9.2-r0.apk
16.48KB
2024-11-30 22:52:12
py3-ppk2-api-pyc-0.9.2-r0.apk
16.51KB
2024-11-30 22:52:12
py3-pprintpp-0.4.0-r1.apk
13.91KB
2024-10-25 23:34:46
py3-pprintpp-pyc-0.4.0-r1.apk
16.28KB
2024-10-25 23:34:46
py3-prefixed-0.9.0-r0.apk
14.36KB
2025-08-28 12:31:39
py3-prefixed-pyc-0.9.0-r0.apk
8.61KB
2025-08-28 12:31:39
py3-print-color-0.4.6-r0.apk
8.86KB
2024-10-25 23:34:46
py3-print-color-doc-0.4.6-r0.apk
2.62KB
2024-10-25 23:34:46
py3-print-color-pyc-0.4.6-r0.apk
4.96KB
2024-10-25 23:34:46
py3-proglog-0.1.10-r2.apk
7.38KB
2024-10-25 23:34:46
py3-proglog-pyc-0.1.10-r2.apk
9.92KB
2024-10-25 23:34:46
py3-protego-0.3.1-r0.apk
9.34KB
2024-11-30 22:42:43
py3-protego-pyc-0.3.1-r0.apk
11.56KB
2024-11-30 22:42:43
py3-proxmoxer-2.2.0-r0.apk
16.86KB
2024-12-16 13:36:25
py3-proxmoxer-pyc-2.2.0-r0.apk
27.73KB
2024-12-16 13:36:25
py3-pure_protobuf-3.1.2-r0.apk
21.12KB
2024-10-25 23:34:46
py3-pure_protobuf-pyc-3.1.2-r0.apk
37.67KB
2024-10-25 23:34:46
py3-py-radix-0.10.0-r10.apk
20.08KB
2024-10-25 23:34:46
py3-py-radix-pyc-0.10.0-r10.apk
10.62KB
2024-10-25 23:34:46
py3-pyatem-0.5.0-r4.apk
52.24KB
2024-10-25 23:34:46
py3-pyatem-pyc-0.5.0-r4.apk
91.62KB
2024-10-25 23:34:46
py3-pyautogui-0.9.53-r5.apk
35.07KB
2024-10-25 23:34:46
py3-pyautogui-pyc-0.9.53-r5.apk
45.27KB
2024-10-25 23:34:46
py3-pybars3-0.9.7-r6.apk
14.85KB
2024-10-25 23:34:46
py3-pybars3-pyc-0.9.7-r6.apk
16.84KB
2024-10-25 23:34:46
py3-pycaption-2.2.15-r0.apk
360.08KB
2024-10-25 23:34:46
py3-pycolorterm-0.2.1-r6.apk
5.45KB
2024-10-25 23:34:46
py3-pycolorterm-pyc-0.2.1-r6.apk
3.73KB
2024-10-25 23:34:46
py3-pycosat-0.6.6-r2.apk
47.58KB
2024-10-25 23:34:46
py3-pydes-2.0.1-r5.apk
10.68KB
2024-10-25 23:34:46
py3-pydes-doc-2.0.1-r5.apk
3.64KB
2024-10-25 23:34:46
py3-pydes-pyc-2.0.1-r5.apk
13.17KB
2024-10-25 23:34:46
py3-pygelbooru-1.0.0-r0.apk
20.44KB
2025-07-30 07:28:41
py3-pygelbooru-pyc-1.0.0-r0.apk
11.50KB
2025-07-30 07:28:41
py3-pygfm-2.0.0-r2.apk
12.73KB
2024-10-25 23:34:46
py3-pygfm-pyc-2.0.0-r2.apk
13.20KB
2024-10-25 23:34:46
py3-pyglm-2.7.3-r0.apk
1.35MB
2024-11-06 11:42:51
py3-pygpgme-0.3.1-r9.apk
38.85KB
2024-10-25 23:34:46
py3-pygpgme-pyc-0.3.1-r9.apk
5.07KB
2024-10-25 23:34:46
py3-pygtail-0.14.0-r3.apk
14.98KB
2024-10-25 23:34:46
py3-pygtail-pyc-0.14.0-r3.apk
10.09KB
2024-10-25 23:34:46
py3-pyinstaller-6.6.0-r0.apk
1.89MB
2024-10-25 23:34:46
py3-pyinstaller-pyc-6.6.0-r0.apk
502.25KB
2024-10-25 23:34:46
py3-pyinstrument-5.1.1-r0.apk
109.65KB
2025-08-13 10:09:07
py3-pyinstrument-pyc-5.1.1-r0.apk
100.50KB
2025-08-13 10:09:07
py3-pyisbn-1.3.1-r3.apk
20.45KB
2024-10-25 23:34:46
py3-pyisbn-pyc-1.3.1-r3.apk
9.41KB
2024-10-25 23:34:46
py3-pylru-1.2.1-r1.apk
16.57KB
2024-10-25 23:34:46
py3-pylru-pyc-1.2.1-r1.apk
8.94KB
2024-10-25 23:34:46
py3-pymaging-0.0.20130908-r10.apk
17.99KB
2024-10-25 23:34:46
py3-pymaging-png-0.0.20130727-r10.apk
35.02KB
2024-10-25 23:34:46
py3-pymaging-png-pyc-0.0.20130727-r10.apk
51.92KB
2024-10-25 23:34:46
py3-pymaging-pyc-0.0.20130908-r10.apk
31.68KB
2024-10-25 23:34:46
py3-pymata-2.20-r4.apk
22.49KB
2024-10-25 23:34:46
py3-pymata-pyc-2.20-r4.apk
29.40KB
2024-10-25 23:34:46
py3-pymata4-1.15-r4.apk
23.04KB
2024-10-25 23:34:46
py3-pymata4-pyc-1.15-r4.apk
30.82KB
2024-10-25 23:34:46
py3-pymeta3-0.5.1-r6.apk
16.75KB
2024-10-25 23:34:46
py3-pymeta3-pyc-0.5.1-r6.apk
31.55KB
2024-10-25 23:34:46
py3-pymsgbox-1.0.9-r5.apk
9.16KB
2024-10-25 23:34:46
py3-pymsgbox-pyc-1.0.9-r5.apk
9.85KB
2024-10-25 23:34:46
py3-pymsteams-0.2.5-r0.apk
12.35KB
2025-02-14 17:28:56
py3-pymsteams-pyc-0.2.5-r0.apk
6.54KB
2025-02-14 17:28:56
py3-pynest2d-5.2.2-r5.apk
276.42KB
2025-02-06 06:36:52
py3-pyparted-3.13.0-r1.apk
81.67KB
2024-10-25 23:34:46
py3-pyparted-pyc-3.13.0-r1.apk
42.17KB
2024-10-25 23:34:46
py3-pypubsub-4.0.3-r0.apk
52.39KB
2024-10-25 23:34:46
py3-pypubsub-doc-4.0.3-r0.apk
2.14KB
2024-10-25 23:34:46
py3-pypubsub-pyc-4.0.3-r0.apk
89.91KB
2024-10-25 23:34:46
py3-pyqrcode-1.2.1-r0.apk
36.56KB
2024-10-25 23:34:46
py3-pyqrcode-doc-1.2.1-r0.apk
4.30KB
2024-10-25 23:34:46
py3-pyqrcode-pyc-1.2.1-r0.apk
47.27KB
2024-10-25 23:34:46
py3-pyrebase-3.0.27-r5.apk
9.62KB
2024-10-25 23:34:46
py3-pyrebase-pyc-3.0.27-r5.apk
17.56KB
2024-10-25 23:34:46
py3-pyroma-4.2-r0.apk
21.87KB
2024-10-25 23:34:46
py3-pyroma-pyc-4.2-r0.apk
25.95KB
2024-10-25 23:34:46
py3-pyscreeze-0.1.29-r3.apk
14.11KB
2024-10-25 23:34:46
py3-pyscreeze-pyc-0.1.29-r3.apk
14.19KB
2024-10-25 23:34:46
py3-pysimplesoap-1.16.2-r7.apk
43.67KB
2024-10-25 23:34:46
py3-pysimplesoap-pyc-1.16.2-r7.apk
78.18KB
2024-10-25 23:34:46
py3-pysonic-1.0.3-r0.apk
34.76KB
2025-04-17 01:36:00
py3-pysonic-pyc-1.0.3-r0.apk
32.23KB
2025-04-17 01:36:00
py3-pyspinel-1.0.3-r1.apk
56.01KB
2024-10-25 23:34:46
py3-pyspinel-pyc-1.0.3-r1.apk
63.04KB
2024-10-25 23:34:46
py3-pysrt-1.1.2-r5.apk
25.48KB
2025-05-14 21:13:40
py3-pysrt-pyc-1.1.2-r5.apk
22.75KB
2025-05-14 21:13:40
py3-pystache-0.6.5-r1.apk
68.10KB
2024-10-25 23:34:46
py3-pystache-pyc-0.6.5-r1.apk
96.67KB
2024-10-25 23:34:46
py3-pysubs2-1.8.0-r0.apk
35.93KB
2024-12-26 00:09:55
py3-pysubs2-pyc-1.8.0-r0.apk
67.71KB
2024-12-26 00:09:55
py3-pytaglib-3.0.0-r0.apk
41.55KB
2025-01-26 21:32:42
py3-pytaglib-pyc-3.0.0-r0.apk
2.87KB
2025-01-26 21:32:42
py3-pytap2-2.3.0-r0.apk
6.97KB
2024-10-25 23:34:46
py3-pytap2-doc-2.3.0-r0.apk
2.80KB
2024-10-25 23:34:46
py3-pytap2-pyc-2.3.0-r0.apk
5.99KB
2024-10-25 23:34:46
py3-pyte-0.8.2-r3.apk
29.91KB
2025-05-13 00:26:33
py3-pyte-pyc-0.8.2-r3.apk
39.19KB
2025-05-13 00:26:33
py3-pytest-datadir-1.8.0-r0.apk
7.08KB
2025-08-05 15:48:34
py3-pytest-datadir-pyc-1.8.0-r0.apk
5.39KB
2025-08-05 15:48:34
py3-pytest-expect-1.1.0-r10.apk
5.90KB
2024-10-25 23:34:46
py3-pytest-expect-pyc-1.1.0-r10.apk
6.96KB
2024-10-25 23:34:46
py3-pytest-home-0.6.0-r0.apk
4.54KB
2024-10-25 23:34:46
py3-pytest-home-pyc-0.6.0-r0.apk
2.80KB
2024-10-25 23:34:46
py3-pytest-html-4.1.1-r1.apk
21.62KB
2024-10-25 23:34:46
py3-pytest-html-pyc-4.1.1-r1.apk
21.75KB
2024-10-25 23:34:46
py3-pytest-metadata-3.1.1-r0.apk
10.20KB
2024-10-25 23:34:46
py3-pytest-metadata-pyc-3.1.1-r0.apk
7.90KB
2024-10-25 23:34:46
py3-pytest-regtest-2.3.5-r0.apk
16.07KB
2025-10-12 17:31:28
py3-pytest-regtest-pyc-2.3.5-r0.apk
30.25KB
2025-10-12 17:31:28
py3-pytest-subprocess-1.5.2-r0.apk
19.51KB
2024-10-25 23:34:46
py3-pytest-subprocess-pyc-1.5.2-r0.apk
24.51KB
2024-10-25 23:34:46
py3-pytest-textual-snapshot-1.1.0-r0.apk
9.18KB
2025-08-08 18:27:05
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
10.52KB
2025-08-08 18:27:05
py3-python-archive-0.2-r7.apk
7.40KB
2024-10-25 23:34:46
py3-python-archive-pyc-0.2-r7.apk
9.35KB
2024-10-25 23:34:46
py3-python-iptables-1.2.0-r1.apk
37.97KB
2025-12-12 17:43:15
py3-python-iptables-pyc-1.2.0-r1.apk
67.91KB
2025-12-12 17:43:15
py3-python-jwt-4.1.0-r2.apk
8.07KB
2025-05-16 00:23:56
py3-python-jwt-pyc-4.1.0-r2.apk
6.47KB
2025-05-16 00:23:56
py3-python-logstash-0.4.8-r4.apk
8.60KB
2024-10-25 23:34:46
py3-python-logstash-doc-0.4.8-r4.apk
2.26KB
2024-10-25 23:34:46
py3-python-logstash-pyc-0.4.8-r4.apk
8.41KB
2024-10-25 23:34:46
py3-python-stdnum-1.20-r0.apk
805.71KB
2024-10-25 23:34:46
py3-python-stdnum-pyc-1.20-r0.apk
293.50KB
2024-10-25 23:34:46
py3-pyvcd-0.4.1-r0.apk
22.67KB
2024-11-11 23:46:32
py3-pyvcd-pyc-0.4.1-r0.apk
40.45KB
2024-11-11 23:46:32
py3-pyzor-1.1.2-r0.apk
40.32KB
2025-08-14 09:26:49
py3-pyzor-pyc-1.1.2-r0.apk
53.98KB
2025-08-14 09:26:49
py3-qasync-0.19.0-r2.apk
36.87KB
2024-10-25 23:34:46
py3-qdldl-0.1.5-r4.apk
102.71KB
2024-10-25 23:34:46
py3-qpageview-0.6.2-r1.apk
98.05KB
2024-10-25 23:34:46
py3-qpageview-doc-0.6.2-r1.apk
56.29KB
2024-10-25 23:34:46
py3-qpageview-pyc-0.6.2-r1.apk
180.22KB
2024-10-25 23:34:46
py3-qt.py-1.3.10-r1.apk
32.69KB
2024-10-25 23:34:46
py3-qt.py-pyc-1.3.10-r1.apk
24.69KB
2024-10-25 23:34:46
py3-quebra-frases-0.3.7-r1.apk
8.82KB
2024-10-25 23:34:46
py3-quebra-frases-pyc-0.3.7-r1.apk
7.81KB
2024-10-25 23:34:46
py3-queuelib-1.8.0-r0.apk
12.41KB
2025-09-01 21:13:54
py3-queuelib-pyc-1.8.0-r0.apk
24.49KB
2025-09-01 21:13:54
py3-rabbit-1.1.0-r8.apk
10.94KB
2024-10-25 23:34:46
py3-rabbit-pyc-1.1.0-r8.apk
15.49KB
2024-10-25 23:34:46
py3-radon-6.0.1-r2.apk
31.52KB
2024-10-25 23:34:46
py3-radon-doc-6.0.1-r2.apk
5.14KB
2024-10-25 23:34:46
py3-radon-pyc-6.0.1-r2.apk
49.57KB
2024-10-25 23:34:46
py3-recommonmark-0.7.1-r4.apk
11.74KB
2024-10-25 23:34:46
py3-recommonmark-pyc-0.7.1-r4.apk
17.51KB
2024-10-25 23:34:46
py3-recurring-ical-events-3.8.0-r0.apk
38.88KB
2025-06-14 21:10:07
py3-recurring-ical-events-pyc-3.8.0-r0.apk
49.88KB
2025-06-14 21:10:07
py3-redmine-2.5.0-r0.apk
36.78KB
2024-10-25 23:34:46
py3-redmine-pyc-2.5.0-r0.apk
53.59KB
2024-10-25 23:34:46
py3-remind-0.19.2-r0.apk
24.43KB
2025-04-22 20:45:46
py3-remind-pyc-0.19.2-r0.apk
22.52KB
2025-04-22 20:45:46
py3-requests-kerberos-0.15.0-r0.apk
12.10KB
2024-12-01 19:24:28
py3-requests-kerberos-pyc-0.15.0-r0.apk
10.93KB
2024-12-01 19:24:28
py3-requests-wsgi-adapter-0.4.1-r1.apk
5.52KB
2024-10-25 23:34:46
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
6.60KB
2024-10-25 23:34:46
py3-rfc-bibtex-0.3.2-r7.apk
12.87KB
2024-10-25 23:34:46
py3-rfc-bibtex-pyc-0.3.2-r7.apk
12.44KB
2024-10-25 23:34:46
py3-rich-click-1.7.3-r1.apk
30.73KB
2024-10-25 23:34:46
py3-rich-click-pyc-1.7.3-r1.apk
40.34KB
2024-10-25 23:34:46
py3-riotctrl-0.5.0-r4.apk
13.04KB
2024-10-25 23:34:46
py3-riotctrl-pyc-0.5.0-r4.apk
10.71KB
2024-10-25 23:34:46
py3-rns-1.0.0-r0.apk
344.20KB
2025-09-29 22:34:38
py3-rns-pyc-1.0.0-r0.apk
704.54KB
2025-09-29 22:34:38
py3-rofi-1.0.1-r1.apk
12.16KB
2025-08-09 03:44:10
py3-rofi-pyc-1.0.1-r1.apk
11.75KB
2025-08-09 03:44:10
py3-rosdistro-0.9.0-r3.apk
47.07KB
2024-10-25 23:34:46
py3-rosdistro-pyc-0.9.0-r3.apk
91.26KB
2024-10-25 23:34:46
py3-rospkg-1.2.9-r5.apk
28.79KB
2024-10-25 23:34:46
py3-rospkg-pyc-1.2.9-r5.apk
54.12KB
2024-10-25 23:34:46
py3-rpio-0.10.1-r8.apk
36.94KB
2024-10-25 23:34:46
py3-rpio-pyc-0.10.1-r8.apk
15.95KB
2024-10-25 23:34:46
py3-rst-0.1-r9.apk
5.56KB
2024-10-25 23:34:46
py3-rst-pyc-0.1-r9.apk
6.11KB
2024-10-25 23:34:46
py3-rst.linker-2.6.0-r0.apk
6.09KB
2024-10-25 23:34:46
py3-rst.linker-pyc-2.6.0-r0.apk
6.65KB
2024-10-25 23:34:46
py3-rst2ansi-0.1.5-r0.apk
12.16KB
2024-10-25 23:34:46
py3-rst2ansi-doc-0.1.5-r0.apk
2.24KB
2024-10-25 23:34:46
py3-rst2ansi-pyc-0.1.5-r0.apk
23.97KB
2024-10-25 23:34:46
py3-rtree-1.4.1-r0.apk
26.44KB
2025-08-14 13:11:22
py3-rtree-pyc-1.4.1-r0.apk
48.10KB
2025-08-14 13:11:22
py3-schema-0.7.7-r0.apk
19.42KB
2025-07-07 19:04:50
py3-schema-pyc-0.7.7-r0.apk
20.52KB
2025-07-07 19:04:50
py3-scour-0.38.2-r1.apk
56.39KB
2024-10-25 23:34:46
py3-scour-pyc-0.38.2-r1.apk
73.77KB
2024-10-25 23:34:46
py3-scrapy-2.11.1-r1.apk
239.98KB
2024-10-25 23:34:46
py3-scrapy-pyc-2.11.1-r1.apk
482.02KB
2024-10-25 23:34:46
py3-scs-3.2.3-r4.apk
119.28KB
2024-10-25 23:34:46
py3-scs-pyc-3.2.3-r4.apk
4.92KB
2024-10-25 23:34:46
py3-senf-1.5.0-r0.apk
20.18KB
2025-06-27 05:59:00
py3-senf-pyc-1.5.0-r0.apk
32.50KB
2025-06-27 05:59:00
py3-seqdiag-3.0.0-r5.apk
2.46MB
2024-10-25 23:34:47
py3-seqdiag-pyc-3.0.0-r5.apk
42.24KB
2024-10-25 23:34:47
py3-setuptools-lint-0.6.0-r9.apk
5.43KB
2024-10-25 23:34:47
py3-setuptools-lint-pyc-0.6.0-r9.apk
6.01KB
2024-10-25 23:34:47
py3-sh-2.1.0-r0.apk
37.76KB
2024-11-01 21:39:30
py3-sh-pyc-2.1.0-r0.apk
54.86KB
2024-11-01 21:39:30
py3-shodan-1.31.0-r1.apk
44.09KB
2024-10-25 23:34:47
py3-shodan-doc-1.31.0-r1.apk
7.20KB
2024-10-25 23:34:47
py3-shodan-pyc-1.31.0-r1.apk
79.15KB
2024-10-25 23:34:47
py3-simber-0.2.6-r5.apk
11.89KB
2025-05-14 21:13:40
py3-simber-pyc-0.2.6-r5.apk
16.20KB
2025-05-14 21:13:40
py3-simple-websocket-1.1.0-r0.apk
10.87KB
2025-09-06 02:29:37
py3-simple-websocket-doc-1.1.0-r0.apk
2.29KB
2025-09-06 02:29:37
py3-simple-websocket-pyc-1.1.0-r0.apk
22.75KB
2025-09-06 02:29:37
py3-simpleeval-1.0.3-r0.apk
15.62KB
2025-06-24 22:38:36
py3-simpleeval-pyc-1.0.3-r0.apk
16.47KB
2025-06-24 22:38:36
py3-simplematch-1.4-r1.apk
8.00KB
2024-10-25 23:34:47
py3-simplematch-pyc-1.4-r1.apk
5.80KB
2024-10-25 23:34:47
py3-simplesat-0.8.2-r0.apk
214.03KB
2024-10-25 23:34:47
py3-simplesat-pyc-0.8.2-r0.apk
156.57KB
2024-10-25 23:34:47
py3-simplesoapy-1.5.1-r7.apk
7.87KB
2024-10-25 23:34:47
py3-simplesoapy-pyc-1.5.1-r7.apk
11.77KB
2024-10-25 23:34:47
py3-simplespectral-1.0.0-r5.apk
7.50KB
2024-10-25 23:34:47
py3-simplespectral-pyc-1.0.0-r5.apk
8.08KB
2024-10-25 23:34:47
py3-slidge-style-parser-0.1.9-r0.apk
259.11KB
2025-04-15 04:17:34
py3-slidge-style-parser-pyc-0.1.9-r0.apk
1.97KB
2025-04-15 04:17:34
py3-slixmpp-1.8.5-r2.apk
383.71KB
2024-10-25 23:34:47
py3-slixmpp-doc-1.8.5-r2.apk
5.81KB
2024-10-25 23:34:47
py3-slixmpp-pyc-1.8.5-r2.apk
729.48KB
2024-10-25 23:34:47
py3-snapshottest-0.6.0-r5.apk
15.11KB
2024-10-25 23:34:47
py3-snapshottest-pyc-0.6.0-r5.apk
25.73KB
2024-10-25 23:34:47
py3-soappy-0.52.30-r0.apk
47.26KB
2024-12-03 01:04:02
py3-soappy-pyc-0.52.30-r0.apk
95.28KB
2024-12-03 01:04:02
py3-soapy_power-1.6.1-r5.apk
17.49KB
2024-10-25 23:34:47
py3-soapy_power-pyc-1.6.1-r5.apk
26.52KB
2024-10-25 23:34:47
py3-socketio-5.13.0-r0.apk
62.21KB
2025-09-06 02:29:37
py3-socketio-doc-5.13.0-r0.apk
36.01KB
2025-09-06 02:29:37
py3-socketio-pyc-5.13.0-r0.apk
126.73KB
2025-09-06 02:29:37
py3-solidpython-1.1.2-r2.apk
78.70KB
2024-10-25 23:34:47
py3-solidpython-pyc-1.1.2-r2.apk
119.96KB
2024-10-25 23:34:47
py3-sortedcollections-2.1.0-r5.apk
10.66KB
2024-10-25 23:34:47
py3-sortedcollections-pyc-2.1.0-r5.apk
13.86KB
2024-10-25 23:34:47
py3-spake2-0.9-r0.apk
30.14KB
2024-10-25 23:34:47
py3-spake2-pyc-0.9-r0.apk
43.51KB
2024-10-25 23:34:47
py3-sphinx-argparse-0.5.2-r0.apk
14.18KB
2024-10-25 23:34:47
py3-sphinx-argparse-pyc-0.5.2-r0.apk
22.12KB
2024-10-25 23:34:47
py3-sphinx-autoapi-3.6.1-r0.apk
31.34KB
2025-10-11 13:59:40
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
58.92KB
2025-10-11 13:59:40
py3-sphinx-theme-better-0.1.5-r7.apk
10.53KB
2024-10-25 23:34:47
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
1.99KB
2024-10-25 23:34:47
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
1.16MB
2024-10-25 23:34:47
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2.34KB
2024-10-25 23:34:47
py3-sphinx-theme-bw-0.1.8-r7.apk
64.76KB
2024-10-25 23:34:47
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
1.83KB
2024-10-25 23:34:47
py3-sphinx-theme-cloud-1.10.0-r2.apk
81.31KB
2024-10-25 23:34:47
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
42.77KB
2024-10-25 23:34:47
py3-sphinx-theme-epfl-1.1.1-r9.apk
29.85KB
2024-10-25 23:34:47
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2.47KB
2024-10-25 23:34:47
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2.45MB
2024-10-25 23:34:47
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
5.51KB
2024-10-25 23:34:47
py3-sphinx-theme-readable-1.3.0-r9.apk
8.81KB
2024-10-25 23:34:47
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2.21KB
2024-10-25 23:34:47
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
7.63KB
2024-10-25 23:34:47
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
9.28KB
2024-10-25 23:34:47
py3-sphinxcontrib-adadomain-0.2-r9.apk
9.01KB
2024-10-25 23:34:47
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
11.98KB
2024-10-25 23:34:47
py3-sphinxcontrib-bitbucket-1.0-r8.apk
5.68KB
2024-10-25 23:34:47
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
4.08KB
2024-10-25 23:34:47
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
7.54KB
2024-10-25 23:34:47
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
9.15KB
2024-10-25 23:34:47
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
5.73KB
2024-10-25 23:34:47
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
4.25KB
2024-10-25 23:34:47
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
17.92KB
2024-10-25 23:34:47
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
33.50KB
2024-10-25 23:34:47
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
9.12KB
2024-10-25 23:34:47
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
3.48KB
2024-10-25 23:34:47
py3-sphinxcontrib-gist-0.1.0-r9.apk
3.91KB
2024-10-25 23:34:47
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
3.33KB
2024-10-25 23:34:47
py3-sphinxcontrib-git-11.0.0-r7.apk
17.13KB
2024-10-25 23:34:47
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
6.62KB
2024-10-25 23:34:47
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
7.86KB
2024-10-25 23:34:47
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
7.62KB
2024-10-25 23:34:47
py3-sphinxcontrib-htsql-0.1.5-r8.apk
10.55KB
2024-10-25 23:34:47
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
15.03KB
2024-10-25 23:34:47
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
18.10KB
2024-10-25 23:34:47
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
4.33KB
2024-10-25 23:34:47
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
33.89KB
2024-10-25 23:34:47
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
21.37KB
2024-10-25 23:34:47
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
43.83KB
2024-10-25 23:34:47
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
10.53KB
2024-10-25 23:34:47
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
15.08KB
2024-10-25 23:34:47
py3-sphinxcontrib-issuetracker-0.11-r7.apk
11.10KB
2024-10-25 23:34:47
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
11.95KB
2024-10-25 23:34:47
py3-sphinxcontrib-lassodomain-0.4-r8.apk
7.93KB
2024-10-25 23:34:47
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
10.60KB
2024-10-25 23:34:47
py3-sphinxcontrib-manpage-0.6-r8.apk
4.14KB
2024-10-25 23:34:47
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
3.15KB
2024-10-25 23:34:47
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
10.26KB
2025-05-08 14:05:34
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
16.03KB
2025-05-08 14:05:34
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
8.72KB
2024-10-25 23:34:47
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
11.19KB
2024-10-25 23:34:47
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
11.34KB
2025-07-12 18:35:19
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
17.20KB
2025-07-12 18:35:19
py3-sphinxcontrib-plantuml-0.30-r0.apk
12.04KB
2025-05-08 14:05:34
py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk
18.62KB
2025-05-08 14:05:34
py3-sphinxcontrib-programoutput-0.17-r5.apk
16.47KB
2024-10-25 23:34:47
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
23.85KB
2024-10-25 23:34:47
py3-sphinxcontrib-restbuilder-0.3-r6.apk
11.32KB
2024-10-25 23:34:47
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
20.50KB
2024-10-25 23:34:47
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
7.65KB
2024-10-25 23:34:47
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
9.30KB
2024-10-25 23:34:47
py3-sphinxcontrib-slide-1.0.0-r4.apk
4.88KB
2025-05-13 00:26:33
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
5.56KB
2025-05-13 00:26:33
py3-sphinxcontrib-spelling-8.0.1-r0.apk
13.18KB
2025-07-14 00:34:19
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
18.93KB
2025-07-14 00:34:19
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
7.34KB
2024-10-25 23:34:47
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
4.93KB
2024-10-25 23:34:47
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
6.11KB
2024-10-25 23:34:47
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
5.65KB
2024-10-25 23:34:47
py3-spidev-3.6-r1.apk
14.54KB
2024-10-25 23:34:47
py3-spin-0.8-r0.apk
18.52KB
2024-10-25 23:34:47
py3-spin-pyc-0.8-r0.apk
24.49KB
2024-10-25 23:34:47
py3-spinners-0.0.24-r5.apk
6.09KB
2024-10-25 23:34:47
py3-spinners-pyc-0.0.24-r5.apk
6.25KB
2024-10-25 23:34:47
py3-spnego-0.11.2-r0.apk
117.91KB
2025-01-16 09:52:11
py3-spnego-pyc-0.11.2-r0.apk
219.07KB
2025-01-16 09:52:11
py3-spotipy-2.24.0-r3.apk
29.61KB
2025-10-14 18:05:50
py3-spotipy-pyc-2.24.0-r3.apk
49.49KB
2025-10-14 18:05:50
py3-sqlmodel-0.0.22-r1.apk
26.32KB
2024-12-07 01:05:02
py3-sqlmodel-pyc-0.0.22-r1.apk
41.18KB
2024-12-07 01:05:02
py3-sssd-2.11.1-r2.apk
60.90KB
2025-11-20 09:32:30
py3-sssd-pyc-2.11.1-r2.apk
48.62KB
2025-11-20 09:32:30
py3-sstash-0.17-r9.apk
7.72KB
2024-10-25 23:34:47
py3-sstash-pyc-0.17-r9.apk
10.29KB
2024-10-25 23:34:47
py3-svglib-1.5.1-r0.apk
29.90KB
2025-06-10 21:51:34
py3-svglib-doc-1.5.1-r0.apk
2.08KB
2025-06-10 21:51:34
py3-svglib-pyc-1.5.1-r0.apk
42.41KB
2025-06-10 21:51:34
py3-svgpath-7.0-r0.apk
18.60KB
2025-07-08 09:16:41
py3-svgpath-pyc-7.0-r0.apk
23.81KB
2025-07-08 09:16:41
py3-swagger-ui-bundle-1.1.0-r1.apk
2.48MB
2024-10-25 23:34:47
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2.11KB
2024-10-25 23:34:47
py3-synapse-auto-accept-invite-1.2.0-r1.apk
9.76KB
2025-08-09 03:44:10
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
5.62KB
2025-08-09 03:44:10
py3-tailer-0.4.1-r7.apk
6.87KB
2024-10-25 23:34:47
py3-tailer-pyc-0.4.1-r7.apk
6.63KB
2024-10-25 23:34:47
py3-tasklib-2.5.1-r2.apk
23.10KB
2024-10-25 23:34:47
py3-tasklib-pyc-2.5.1-r2.apk
51.53KB
2024-10-25 23:34:47
py3-telegram-bot-22.5-r0.apk
497.48KB
2025-11-19 20:30:11
py3-telegram-bot-pyc-22.5-r0.apk
802.47KB
2025-11-19 20:30:12
py3-telegram-text-0.2.0-r1.apk
9.19KB
2024-10-25 23:34:47
py3-telegram-text-pyc-0.2.0-r1.apk
12.95KB
2024-10-25 23:34:47
py3-telemetrix-1.20-r3.apk
20.94KB
2024-10-25 23:34:47
py3-telemetrix-pyc-1.20-r3.apk
31.04KB
2024-10-25 23:34:47
py3-teletype-1.3.4-r3.apk
15.41KB
2024-10-25 23:34:47
py3-teletype-pyc-1.3.4-r3.apk
20.52KB
2024-10-25 23:34:47
py3-testresources-2.0.1-r6.apk
17.35KB
2024-10-25 23:34:47
py3-testresources-pyc-2.0.1-r6.apk
15.95KB
2024-10-25 23:34:47
py3-textual-5.3.0-r0.apk
611.76KB
2025-10-27 10:29:50
py3-textual-pyc-5.3.0-r0.apk
1.19MB
2025-10-27 10:29:51
py3-thefuzz-0.22.1-r1.apk
10.01KB
2024-10-25 23:34:47
py3-thefuzz-pyc-0.22.1-r1.apk
8.95KB
2024-10-25 23:34:47
py3-ticket-auth-0.1.4-r9.apk
5.95KB
2024-10-25 23:34:47
py3-ticket-auth-pyc-0.1.4-r9.apk
6.37KB
2024-10-25 23:34:47
py3-tidalapi-0.8.4-r0.apk
50.12KB
2025-07-12 19:01:56
py3-tidalapi-pyc-0.8.4-r0.apk
90.71KB
2025-07-12 19:01:56
py3-timeago-1.0.16-r0.apk
23.60KB
2024-10-25 23:34:47
py3-timeago-doc-1.0.16-r0.apk
2.85KB
2024-10-25 23:34:47
py3-timeago-pyc-1.0.16-r0.apk
27.66KB
2024-10-25 23:34:47
py3-tls_parser-2.0.2-r0.apk
10.30KB
2025-07-14 06:36:29
py3-tls_parser-pyc-2.0.2-r0.apk
17.16KB
2025-07-14 06:36:29
py3-tlslite-ng-0.7.6-r8.apk
178.50KB
2024-10-25 23:34:47
py3-tlslite-ng-pyc-0.7.6-r8.apk
274.77KB
2024-10-25 23:34:47
py3-tpm2-pytss-2.3.0-r1.apk
340.53KB
2024-10-25 23:34:47
py3-tpm2-pytss-pyc-2.3.0-r1.apk
236.09KB
2024-10-25 23:34:47
py3-trakit-0.2.5-r0.apk
19.25KB
2025-11-24 01:10:44
py3-trakit-pyc-0.2.5-r0.apk
16.68KB
2025-11-24 01:10:44
py3-transitions-0.9.2-r0.apk
97.73KB
2024-10-25 23:34:47
py3-transitions-pyc-0.9.2-r0.apk
128.79KB
2024-10-25 23:34:47
py3-translationstring-1.4-r4.apk
9.15KB
2024-10-25 23:34:47
py3-translationstring-pyc-1.4-r4.apk
8.77KB
2024-10-25 23:34:47
py3-trimesh-4.10.0-r0.apk
676.16KB
2025-11-25 07:20:37
py3-trimesh-pyc-4.10.0-r0.apk
789.61KB
2025-11-25 07:20:37
py3-trivup-0.12.2-r2.apk
34.02KB
2024-10-25 23:34:47
py3-trivup-pyc-0.12.2-r2.apk
54.91KB
2024-10-25 23:34:47
py3-truststore-0.10.1-r0.apk
17.49KB
2025-02-10 09:29:41
py3-truststore-pyc-0.10.1-r0.apk
25.50KB
2025-02-10 09:29:41
py3-twiggy-0.5.1-r4.apk
24.12KB
2024-10-25 23:34:47
py3-twiggy-pyc-0.5.1-r4.apk
38.68KB
2024-10-25 23:34:47
py3-typing_inspect-0.9.0-r2.apk
9.91KB
2024-10-25 23:34:47
py3-typing_inspect-pyc-0.9.0-r2.apk
14.23KB
2024-10-25 23:34:47
py3-u-msgpack-2.8.0-r2.apk
10.91KB
2024-10-25 23:34:47
py3-u-msgpack-pyc-2.8.0-r2.apk
16.23KB
2024-10-25 23:34:47
py3-uacme-desec-1.2.1-r0.apk
5.70KB
2024-10-25 23:34:47
py3-uacme-desec-doc-1.2.1-r0.apk
2.19KB
2024-10-25 23:34:47
py3-uacme-desec-pyc-1.2.1-r0.apk
6.77KB
2024-10-25 23:34:47
py3-uc-micro-py-1.0.3-r0.apk
6.54KB
2025-10-27 10:29:51
py3-uc-micro-py-pyc-1.0.3-r0.apk
4.59KB
2025-10-27 10:29:51
py3-unearth-0.18.0-r0.apk
40.75KB
2025-10-14 17:55:21
py3-unearth-pyc-0.18.0-r0.apk
82.33KB
2025-10-14 17:55:21
py3-unicrypto-0.0.12-r0.apk
58.59KB
2025-11-03 08:50:18
py3-unicrypto-pyc-0.0.12-r0.apk
92.17KB
2025-11-03 08:50:18
py3-unidns-0.0.4-r0.apk
14.37KB
2025-11-03 08:50:18
py3-unidns-examples-0.0.4-r0.apk
2.61KB
2025-11-03 08:50:18
py3-unidns-pyc-0.0.4-r0.apk
24.98KB
2025-11-03 08:50:18
py3-uptime-3.0.1-r9.apk
9.66KB
2024-10-25 23:34:47
py3-uptime-pyc-3.0.1-r9.apk
8.70KB
2024-10-25 23:34:47
py3-urlobject-2.4.3-r9.apk
14.75KB
2024-10-25 23:34:47
py3-urlobject-pyc-2.4.3-r9.apk
24.68KB
2024-10-25 23:34:47
py3-us-3.2.0-r0.apk
14.32KB
2024-10-25 23:34:47
py3-us-pyc-3.2.0-r0.apk
15.19KB
2024-10-25 23:34:47
py3-utc-0.0.3-r9.apk
3.47KB
2024-10-25 23:34:47
py3-utc-pyc-0.0.3-r9.apk
2.76KB
2024-10-25 23:34:47
py3-vatnumber-1.2-r9.apk
18.63KB
2024-10-25 23:34:47
py3-vatnumber-pyc-1.2-r9.apk
8.63KB
2024-10-25 23:34:47
py3-vdf-3.4-r2.apk
11.16KB
2025-10-17 00:59:41
py3-vdf-pyc-3.4-r2.apk
16.52KB
2025-10-17 00:59:41
py3-venusian-3.1.1-r0.apk
14.35KB
2024-12-07 23:14:25
py3-venusian-pyc-3.1.1-r0.apk
12.50KB
2024-12-07 23:14:25
py3-virtualenvwrapper-6.1.1-r1.apk
21.63KB
2025-12-18 12:58:43
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
11.75KB
2025-12-18 12:58:43
py3-visitor-0.1.3-r7.apk
4.62KB
2024-10-25 23:34:47
py3-visitor-pyc-0.1.3-r7.apk
2.62KB
2024-10-25 23:34:47
py3-ward-0.67.0_beta0-r2.apk
40.71KB
2024-10-25 23:34:47
py3-ward-pyc-0.67.0_beta0-r2.apk
79.55KB
2024-10-25 23:34:47
py3-wbdata-1.0.0-r1.apk
18.49KB
2024-10-25 23:34:47
py3-wbdata-pyc-1.0.0-r1.apk
19.58KB
2024-10-25 23:34:47
py3-wg-netns-2.3.1-r1.apk
7.57KB
2024-10-25 23:34:47
py3-wg-netns-pyc-2.3.1-r1.apk
13.39KB
2024-10-25 23:34:47
py3-wgconfig-1.1.0-r0.apk
21.97KB
2025-01-29 08:49:35
py3-wgconfig-pyc-1.1.0-r0.apk
11.70KB
2025-01-29 08:49:35
py3-wifi-0.3.8-r7.apk
13.01KB
2024-10-25 23:34:47
py3-wifi-pyc-0.3.8-r7.apk
13.74KB
2024-10-25 23:34:47
py3-wikipedia-1.4.0-r0.apk
12.21KB
2025-09-14 05:03:25
py3-wikipedia-doc-1.4.0-r0.apk
4.14KB
2025-09-14 05:03:25
py3-wikipedia-pyc-1.4.0-r0.apk
16.21KB
2025-09-14 05:03:25
py3-winacl-0.1.9-r1.apk
82.68KB
2025-05-29 14:59:17
py3-winacl-pyc-0.1.9-r1.apk
131.24KB
2025-05-29 14:59:17
py3-wsgiprox-1.5.2-r1.apk
16.68KB
2024-10-25 23:34:47
py3-wsgiprox-pyc-1.5.2-r1.apk
28.17KB
2024-10-25 23:34:47
py3-wstools-0.4.10-r7.apk
53.20KB
2024-10-25 23:34:47
py3-wstools-pyc-0.4.10-r7.apk
110.75KB
2024-10-25 23:34:47
py3-wtf-peewee-3.0.6-r0.apk
12.71KB
2024-10-25 23:34:47
py3-wtf-peewee-pyc-3.0.6-r0.apk
24.66KB
2024-10-25 23:34:47
py3-x-wr-timezone-2.0.1-r0.apk
11.65KB
2025-02-09 17:13:59
py3-x-wr-timezone-pyc-2.0.1-r0.apk
7.10KB
2025-02-09 17:13:59
py3-xapp-2.4.2-r0.apk
33.61KB
2024-11-12 13:04:07
py3-xdoctest-1.2.0-r0.apk
312.50KB
2024-11-21 15:31:41
py3-xsdata-25.7-r0.apk
189.67KB
2025-07-07 23:47:58
py3-xsdata-pyc-25.7-r0.apk
392.69KB
2025-07-07 23:47:58
py3-yapsy-1.12.2-r7.apk
32.24KB
2024-10-25 23:34:47
py3-yapsy-pyc-1.12.2-r7.apk
47.14KB
2024-10-25 23:34:47
py3-yara-4.5.4-r0.apk
19.58KB
2025-08-13 18:32:46
py3-youtube-search-1.6.6-r5.apk
78.08KB
2025-05-14 21:13:40
py3-youtube-search-pyc-1.6.6-r5.apk
95.30KB
2025-05-14 21:13:40
py3-zimscraperlib-3.4.0-r0.apk
51.62KB
2024-11-06 11:42:51
py3-zimscraperlib-pyc-3.4.0-r0.apk
68.37KB
2024-11-06 11:42:51
py3-zipfile2-0.0.12-r0.apk
45.15KB
2024-10-25 23:34:47
py3-zipfile2-pyc-0.0.12-r0.apk
28.93KB
2024-10-25 23:34:47
py3-zope-configuration-7.0-r0.apk
38.67KB
2025-12-02 05:18:41
py3-zope-configuration-pyc-7.0-r0.apk
49.00KB
2025-12-02 05:18:41
py3-zope-i18nmessageid-8.2-r0.apk
14.49KB
2025-12-25 14:29:50
py3-zope-i18nmessageid-pyc-8.2-r0.apk
8.40KB
2025-12-25 14:29:50
py3-zope-schema-7.0.1-r3.apk
45.26KB
2024-10-25 23:34:47
py3-zope-schema-pyc-7.0.1-r3.apk
61.06KB
2024-10-25 23:34:47
pyinfra-3.3.1-r0.apk
191.98KB
2025-07-16 03:27:25
pyinfra-pyc-3.3.1-r0.apk
361.03KB
2025-07-16 03:27:25
pympress-1.8.6-r0.apk
179.54KB
2025-11-10 07:36:51
pympress-doc-1.8.6-r0.apk
74.50KB
2025-11-10 07:36:51
pympress-lang-1.8.6-r0.apk
59.14KB
2025-11-10 07:36:51
pympress-pyc-1.8.6-r0.apk
181.83KB
2025-11-10 07:36:51
pyonji-0.1.0-r11.apk
2.95MB
2025-12-05 00:38:28
pypy-7.3.19-r0.apk
20.85MB
2025-11-01 17:00:48
pypy-bootstrap-7.3.19-r0.apk
21.68MB
2025-11-01 17:00:49
pypy-dev-7.3.19-r0.apk
74.64KB
2025-11-01 17:00:49
pypy-tkinter-7.3.19-r0.apk
448.38KB
2025-11-01 17:00:49
pypy3-7.3.19-r0.apk
20.31MB
2025-11-01 17:00:50
pypy3-dev-7.3.19-r0.apk
581.84KB
2025-11-01 17:00:50
pypy3-pyc-7.3.19-r0.apk
5.84MB
2025-11-01 17:00:51
pypy3-tests-7.3.19-r0.apk
12.86MB
2025-11-01 17:00:51
pypy3-tkinter-7.3.19-r0.apk
301.03KB
2025-11-01 17:00:51
pypykatz-0.6.11-r1.apk
315.39KB
2025-05-29 14:59:17
pypykatz-pyc-0.6.11-r1.apk
721.12KB
2025-05-29 14:59:17
pyradio-0.9.3.11-r0.apk
870.80KB
2024-10-25 23:34:52
pyradio-doc-0.9.3.11-r0.apk
112.65KB
2024-10-25 23:34:52
pyradio-pyc-0.9.3.11-r0.apk
810.33KB
2024-10-25 23:34:52
qadwaitadecorations-0.1.7-r1.apk
49.65KB
2025-10-05 13:15:16
qbittorrent-cli-2.2.0-r5.apk
5.79MB
2025-12-05 00:38:28
qdjango-0.6.2-r1.apk
105.95KB
2024-10-25 23:34:52
qdjango-dev-0.6.2-r1.apk
14.06KB
2024-10-25 23:34:52
qflipper-1.3.3-r1.apk
533.47KB
2024-10-25 23:34:52
qflipper-gui-1.3.3-r1.apk
1.12MB
2024-10-25 23:34:52
qml-box2d-0_git20180406-r0.apk
143.43KB
2024-10-25 23:34:52
qoi-0.0.0_git20230312-r0.apk
1.47KB
2024-10-25 23:34:52
qoi-dev-0.0.0_git20230312-r0.apk
6.83KB
2024-10-25 23:34:52
qoiconv-0.0.0_git20230312-r0.apk
31.03KB
2024-10-25 23:34:52
qownnotes-25.12.5-r0.apk
2.61MB
2025-12-14 18:16:51
qownnotes-lang-25.12.5-r0.apk
4.88MB
2025-12-14 18:16:51
qpdfview-0.5-r2.apk
1.02MB
2025-01-29 20:50:57
qpdfview-doc-0.5-r2.apk
4.25KB
2025-01-29 20:50:57
qperf-0.4.11-r2.apk
34.28KB
2025-05-13 00:26:33
qperf-doc-0.4.11-r2.apk
5.57KB
2025-05-13 00:26:33
qqc2-suru-style-0.20230206-r1.apk
174.47KB
2024-10-25 23:34:52
qrcodegen-1.8.0-r0.apk
12.59KB
2025-11-30 22:12:31
qrcodegen-dev-1.8.0-r0.apk
18.58KB
2025-11-30 22:12:31
qrcodegen-doc-1.8.0-r0.apk
3.56KB
2025-11-30 22:12:31
qspectrumanalyzer-2.2.0-r5.apk
53.84KB
2024-10-25 23:34:52
qspectrumanalyzer-pyc-2.2.0-r5.apk
62.29KB
2024-10-25 23:34:52
qsstv-9.5.8-r2.apk
945.50KB
2024-10-25 23:34:52
qstardict-2.0.2-r1.apk
460.28KB
2024-11-24 05:04:42
qstardict-doc-2.0.2-r1.apk
10.64KB
2024-11-24 05:04:42
qsynth-1.0.3-r0.apk
452.89KB
2025-11-25 13:02:41
qsynth-doc-1.0.3-r0.apk
4.37KB
2025-11-25 13:02:41
qt-wayland-shell-helpers-0.1.1-r3.apk
13.79KB
2024-10-25 23:34:52
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
3.94KB
2024-10-25 23:34:52
qt5ct-1.9-r0.apk
233.09KB
2025-11-19 06:38:20
qt5ct-dev-1.9-r0.apk
1.54KB
2025-11-19 06:38:20
qt6-qtgraphs-6.10.1-r0.apk
1.21MB
2025-12-10 18:56:50
qt6-qtgraphs-dev-6.10.1-r0.apk
118.39KB
2025-12-10 18:56:50
qt6ct-0.11-r2.apk
198.08KB
2025-12-05 00:38:28
qtile-0.33.0-r0.apk
468.94KB
2025-09-17 10:54:29
qtile-pyc-0.33.0-r0.apk
857.94KB
2025-09-17 10:54:29
qtmir-0.7.2_git20250407-r5.apk
545.86KB
2025-12-18 17:16:54
qtmir-dev-0.7.2_git20250407-r5.apk
6.64KB
2025-12-18 17:16:54
qtox-1.18.3-r0.apk
5.13MB
2025-11-30 22:12:32
qtpass-1.4.0-r0.apk
431.06KB
2024-10-25 23:34:53
qtpass-doc-1.4.0-r0.apk
2.14KB
2024-10-25 23:34:53
quakespasm-0.96.3-r0.apk
497.37KB
2024-10-25 23:34:53
queercat-1.0.0-r0.apk
7.72KB
2024-10-25 23:34:53
quodlibet-4.7.1-r0.apk
1.04MB
2025-09-16 21:53:11
quodlibet-bash-completion-4.7.1-r0.apk
4.50KB
2025-09-16 21:53:11
quodlibet-doc-4.7.1-r0.apk
8.66KB
2025-09-16 21:53:11
quodlibet-lang-4.7.1-r0.apk
1.42MB
2025-09-16 21:53:12
quodlibet-pyc-4.7.1-r0.apk
1.81MB
2025-09-16 21:53:12
quodlibet-zsh-completion-4.7.1-r0.apk
2.71KB
2025-09-16 21:53:12
raku-distribution-builder-makefromjson-0.6-r1.apk
41.35KB
2025-08-29 10:55:47
raku-distribution-builder-makefromjson-doc-0.6-r1.apk
2.35KB
2025-08-29 10:55:47
raku-inline-perl5-0.60_git20250327-r1.apk
2.09MB
2025-08-29 10:55:47
raku-inline-perl5-doc-0.60_git20250327-r1.apk
6.29KB
2025-08-29 10:55:47
raku-system-query-0.1.6-r1.apk
16.73KB
2025-08-29 10:55:48
raku-system-query-doc-0.1.6-r1.apk
2.51KB
2025-08-29 10:55:48
randrctl-1.10.0-r0.apk
27.93KB
2024-11-17 23:22:18
randrctl-pyc-1.10.0-r0.apk
31.19KB
2024-11-17 23:22:18
rankwidth-0.9-r4.apk
5.90KB
2025-10-28 04:32:48
rankwidth-dev-0.9-r4.apk
2.93KB
2025-10-28 04:32:48
rankwidth-doc-0.9-r4.apk
2.91KB
2025-10-28 04:32:48
rankwidth-libs-0.9-r4.apk
5.16KB
2025-10-28 04:32:48
rankwidth-static-0.9-r4.apk
4.69KB
2025-10-28 04:32:48
raspberrypi-usbboot-20250227-r0.apk
885.82KB
2025-06-09 11:49:57
rattler-build-0.18.0-r0.apk
6.69MB
2024-10-25 23:34:54
rattler-build-bash-completion-0.18.0-r0.apk
3.62KB
2024-10-25 23:34:54
rattler-build-doc-0.18.0-r0.apk
6.72KB
2024-10-25 23:34:54
rattler-build-fish-completion-0.18.0-r0.apk
4.72KB
2024-10-25 23:34:54
rattler-build-zsh-completion-0.18.0-r0.apk
5.44KB
2024-10-25 23:34:54
rauc-1.10.1-r0.apk
147.99KB
2024-10-25 23:34:54
rauc-doc-1.10.1-r0.apk
4.17KB
2024-10-25 23:34:54
rauc-service-1.10.1-r0.apk
3.75KB
2024-10-25 23:34:54
razercfg-0.42-r7.apk
82.29KB
2024-10-25 23:34:54
razercfg-gui-0.42-r7.apk
18.98KB
2024-10-25 23:34:54
razercfg-openrc-0.42-r7.apk
1.72KB
2024-10-25 23:34:54
razercfg-pyc-0.42-r7.apk
35.62KB
2024-10-25 23:34:54
rclone-browser-1.8.0-r1.apk
330.27KB
2024-10-25 23:34:54
rdedup-3.2.1-r5.apk
973.69KB
2024-10-25 23:34:54
rdrview-0.1.3-r0.apk
29.67KB
2025-02-22 21:22:04
rdrview-doc-0.1.3-r0.apk
3.70KB
2025-02-22 21:22:04
reaction-2.2.1-r0.apk
1.47MB
2025-09-29 22:34:38
reaction-openrc-2.2.1-r0.apk
1.83KB
2025-09-29 22:34:38
reaction-tools-2.2.1-r0.apk
5.20KB
2025-09-29 22:34:38
readosm-1.1.0-r3.apk
15.20KB
2025-05-13 00:26:33
readosm-dev-1.1.0-r3.apk
20.18KB
2025-05-13 00:26:33
reap-0.2-r0.apk
5.14KB
2025-09-13 16:00:28
reap-doc-0.2-r0.apk
2.66KB
2025-09-13 16:00:28
reason-3.8.2-r2.apk
23.50MB
2025-10-25 07:58:24
reason-rtop-3.8.2-r2.apk
24.27MB
2025-10-25 07:58:25
recoll-1.43.9-r0.apk
3.15MB
2025-12-28 10:01:37
recoll-dev-1.43.9-r0.apk
55.14KB
2025-12-28 10:01:37
recoll-doc-1.43.9-r0.apk
33.70KB
2025-12-28 10:01:37
redhat-fonts-4.1.0-r1.apk
808.99KB
2025-08-09 03:44:10
redlib-0.36.0-r0.apk
2.87MB
2025-10-27 10:29:53
refine-0.6.3-r0.apk
34.21KB
2025-11-21 01:49:18
refine-lang-0.6.3-r0.apk
31.88KB
2025-11-21 01:49:18
reg-0.16.1-r33.apk
4.75MB
2025-12-05 00:38:28
regal-0.36.1-r1.apk
12.03MB
2025-12-05 00:38:29
regal-bash-completion-0.36.1-r1.apk
6.10KB
2025-12-05 00:38:29
regal-fish-completion-0.36.1-r1.apk
4.33KB
2025-12-05 00:38:29
regal-zsh-completion-0.36.1-r1.apk
4.05KB
2025-12-05 00:38:29
regclient-0.11.1-r0.apk
13.97MB
2025-12-08 12:42:01
remake-1.5-r1.apk
143.83KB
2024-10-25 23:34:59
remake-dev-1.5-r1.apk
2.93KB
2024-10-25 23:34:59
remake-doc-1.5-r1.apk
201.65KB
2024-10-25 23:34:59
remake-make-1.5-r1.apk
1.53KB
2024-10-25 23:34:59
remco-0.12.5-r5.apk
10.01MB
2025-12-05 00:38:30
remco-doc-0.12.5-r5.apk
2.26KB
2025-12-05 00:38:30
remco-openrc-0.12.5-r5.apk
1.70KB
2025-12-05 00:38:30
remind-caldav-0.8.0-r4.apk
17.68KB
2024-10-25 23:34:59
remind-caldav-pyc-0.8.0-r4.apk
6.14KB
2024-10-25 23:34:59
repgrep-0.15.0-r0.apk
1.32MB
2024-10-25 23:34:59
repgrep-bash-completion-0.15.0-r0.apk
1.64KB
2024-10-25 23:34:59
repgrep-doc-0.15.0-r0.apk
6.49KB
2024-10-25 23:34:59
repgrep-fish-completion-0.15.0-r0.apk
4.15KB
2024-10-25 23:34:59
repgrep-zsh-completion-0.15.0-r0.apk
1.65KB
2024-10-25 23:34:59
repo-2.60-r0.apk
16.83KB
2025-12-12 21:49:39
repo-doc-2.60-r0.apk
39.59KB
2025-12-12 21:49:39
reprotest-0.7.32-r0.apk
80.28KB
2025-11-02 16:25:51
reprotest-pyc-0.7.32-r0.apk
102.92KB
2025-11-02 16:25:51
responder-3.1.7.0-r0.apk
747.30KB
2025-10-14 17:55:21
restart-services-0.17.0-r0.apk
11.51KB
2024-10-25 23:34:59
restart-services-doc-0.17.0-r0.apk
5.88KB
2024-10-25 23:34:59
restic.mk-0.4.0-r0.apk
2.91KB
2024-10-25 23:34:59
restinio-0.6.19-r1.apk
1.23KB
2024-12-14 21:04:24
restinio-dev-0.6.19-r1.apk
267.85KB
2024-12-14 21:04:24
rgxg-0.1.2-r2.apk
14.10KB
2024-10-25 23:34:59
rgxg-dev-0.1.2-r2.apk
3.53KB
2024-10-25 23:34:59
rgxg-doc-0.1.2-r2.apk
12.32KB
2024-10-25 23:34:59
rhasspy-nlu-0.4.0-r3.apk
43.59KB
2024-10-25 23:34:59
rhasspy-nlu-pyc-0.4.0-r3.apk
72.87KB
2024-10-25 23:34:59
rinetd-0.73-r0.apk
15.03KB
2024-10-25 23:34:59
rinetd-doc-0.73-r0.apk
16.45KB
2024-10-25 23:34:59
rinetd-openrc-0.73-r0.apk
1.72KB
2024-10-25 23:34:59
river-luatile-0.1.4-r0.apk
259.98KB
2025-05-19 15:03:50
river-shifttags-0.2.1-r1.apk
6.81KB
2025-05-13 00:26:33
river-shifttags-doc-0.2.1-r1.apk
2.37KB
2025-05-13 00:26:33
rizin-0.8.1-r0.apk
2.66MB
2025-06-30 19:51:39
rizin-cutter-2.4.1-r0.apk
2.68MB
2025-06-30 19:51:40
rizin-cutter-dev-2.4.1-r0.apk
103.20KB
2025-06-30 19:51:40
rizin-dev-0.8.1-r0.apk
322.57KB
2025-06-30 19:51:40
rizin-doc-0.8.1-r0.apk
19.26KB
2025-06-30 19:51:40
rizin-libs-0.8.1-r0.apk
5.72MB
2025-06-30 19:51:40
rkdeveloptool-1.1.0-r2.apk
55.12KB
2025-11-26 23:10:53
rkdeveloptool-doc-1.1.0-r2.apk
2.99KB
2025-11-26 23:10:53
rkdeveloptool-udev-1.1.0-r2.apk
1.78KB
2025-11-26 23:10:53
rke-1.4.3-r20.apk
20.40MB
2025-12-05 00:38:31
rke-doc-1.4.3-r20.apk
2.96KB
2025-12-05 00:38:31
rmlint-2.10.2-r2.apk
146.00KB
2024-10-25 23:35:01
rmlint-doc-2.10.2-r2.apk
17.81KB
2024-10-25 23:35:01
rmlint-lang-2.10.2-r2.apk
18.90KB
2024-10-25 23:35:01
rmlint-shredder-2.10.2-r2.apk
95.96KB
2024-10-25 23:35:01
rmlint-shredder-pyc-2.10.2-r2.apk
124.50KB
2024-10-25 23:35:01
rocm-cmake-6.4.3-r0.apk
28.44KB
2025-08-18 14:32:40
rocm-cmake-doc-6.4.3-r0.apk
2.42KB
2025-08-18 14:32:40
rocm-core-6.4.3-r0.apk
7.69KB
2025-08-18 14:32:40
rocm-core-dev-6.4.3-r0.apk
6.59KB
2025-08-18 14:32:40
rocm-core-doc-6.4.3-r0.apk
2.43KB
2025-08-18 14:32:40
rofi-json-menu-0.2.0-r1.apk
5.51KB
2024-10-25 23:35:01
rofi-pass-2.0.2-r2.apk
9.00KB
2025-08-01 14:21:09
rofi-pass-doc-2.0.2-r2.apk
5.15KB
2025-08-01 14:21:09
roll-2.6.1-r1.apk
13.42KB
2025-10-13 19:21:35
roll-bash-completion-2.6.1-r1.apk
1.84KB
2025-10-13 19:21:35
roll-doc-2.6.1-r1.apk
11.28KB
2025-10-13 19:21:35
rosdep-0.19.0-r6.apk
66.13KB
2024-10-25 23:35:01
rosdep-pyc-0.19.0-r6.apk
119.00KB
2024-10-25 23:35:01
rosenpass-0.2.2-r1.apk
1.11MB
2025-02-01 20:23:49
rpg-cli-1.2.0-r0.apk
627.19KB
2025-08-01 14:20:46
rss-email-0.5.1-r0.apk
2.21MB
2025-08-10 14:20:54
rss-email-doc-0.5.1-r0.apk
6.40KB
2025-08-10 14:20:54
rsstail-2.2-r0.apk
8.70KB
2025-02-13 09:17:41
rsstail-doc-2.2-r0.apk
2.76KB
2025-02-13 09:17:41
rt5-5.0.8-r0.apk
16.92MB
2025-06-15 07:44:17
rt6-6.0.1-r0.apk
12.26MB
2025-08-12 11:23:30
rtl-power-fftw-20200601-r4.apk
60.04KB
2024-10-25 23:35:01
rtl-power-fftw-doc-20200601-r4.apk
8.16KB
2024-10-25 23:35:01
rtl8192eu-src-5.11.2.3_git20251017-r0.apk
2.59MB
2025-12-05 00:38:31
rtl88x2bu-src-5.13.1_git20230711-r0.apk
4.00MB
2024-10-25 23:35:01
rtmidi-6.0.0-r0.apk
31.26KB
2024-10-25 23:35:01
rtmidi-dev-6.0.0-r0.apk
14.12KB
2024-10-25 23:35:01
rtptools-1.22-r2.apk
28.40KB
2024-10-25 23:35:01
rtptools-doc-1.22-r2.apk
12.62KB
2024-10-25 23:35:01
rtw89-src-7_p20230725-r0.apk
758.76KB
2024-10-25 23:35:01
ruby-ansi-1.5.0-r0.apk
25.88KB
2025-07-06 21:54:35
ruby-appraisal-2.5.0-r0.apk
10.87KB
2025-05-26 02:52:17
ruby-appraisal-doc-2.5.0-r0.apk
2.31KB
2025-05-26 02:52:17
ruby-benchmark-ips-2.14.0-r0.apk
14.34KB
2025-05-26 02:54:38
ruby-benchmark-ips-doc-2.14.0-r0.apk
2.27KB
2025-05-26 02:54:38
ruby-build-20250925-r0.apk
93.04KB
2025-09-29 22:34:39
ruby-build-doc-20250925-r0.apk
4.79KB
2025-09-29 22:34:39
ruby-build-runtime-20250925-r0.apk
1.31KB
2025-09-29 22:34:39
ruby-byebug-12.0.0-r0.apk
126.91KB
2025-10-21 07:13:07
ruby-coderay-1.1.3-r0.apk
89.07KB
2025-05-26 02:56:51
ruby-coderay-doc-1.1.3-r0.apk
2.28KB
2025-05-26 02:56:51
ruby-crack-1.0.1-r0.apk
2.33KB
2025-10-21 07:14:53
ruby-crack-doc-1.0.1-r0.apk
2.25KB
2025-10-21 07:14:53
ruby-dry-inflector-1.2.0-r0.apk
8.17KB
2025-10-21 07:16:32
ruby-dry-inflector-doc-1.2.0-r0.apk
2.26KB
2025-10-21 07:16:32
ruby-event_emitter-0.2.6-r0.apk
3.07KB
2025-05-26 03:00:12
ruby-event_emitter-doc-0.2.6-r0.apk
2.26KB
2025-05-26 03:00:12
ruby-facter-4.10.0-r0.apk
218.09KB
2025-07-28 05:04:46
ruby-fast_gettext-3.1.0-r0.apk
22.14KB
2025-05-26 02:58:28
ruby-hashdiff-1.2.1-r0.apk
8.96KB
2025-10-21 07:18:12
ruby-hashdiff-doc-1.2.1-r0.apk
2.25KB
2025-10-21 07:18:12
ruby-hoe-4.5.0-r0.apk
28.73KB
2025-12-28 23:33:05
ruby-libguestfs-1.56.1-r0.apk
115.91KB
2025-07-23 01:02:11
ruby-mail-2.9.0-r0.apk
394.40KB
2025-10-24 15:36:23
ruby-minitest-autotest-1.2.0-r0.apk
14.27KB
2025-12-15 20:35:47
ruby-minitest-focus-1.3.1-r0.apk
5.16KB
2025-07-06 21:54:35
ruby-minitest-power_assert-0.3.1-r0.apk
2.23KB
2025-07-06 21:54:35
ruby-minitest-proveit-1.0.0-r0.apk
4.77KB
2025-07-06 21:54:35
ruby-minitest-reporters-1.7.1-r0.apk
20.08KB
2025-07-06 21:54:35
ruby-minitest-server-1.0.9-r0.apk
5.40KB
2025-11-21 18:33:49
ruby-path_expander-2.0.0-r0.apk
6.63KB
2025-12-15 20:35:24
ruby-ruby-progressbar-1.13.0-r0.apk
24.92KB
2025-07-06 21:54:35
ruff-lsp-0.0.62-r0.apk
21.27KB
2025-03-07 00:31:21
ruff-lsp-pyc-0.0.62-r0.apk
34.99KB
2025-03-07 00:31:21
runst-0.2.0-r0.apk
2.03MB
2025-12-11 16:19:17
runst-doc-0.2.0-r0.apk
7.81KB
2025-12-11 16:19:17
ruri-3.9.3-r0.apk
137.88KB
2025-10-27 10:29:53
ruri-doc-3.9.3-r0.apk
2.24KB
2025-10-27 10:29:53
rust-script-0.36.0-r0.apk
967.69KB
2025-09-05 09:55:58
rustic-0.9.3-r0.apk
6.22MB
2025-06-09 05:21:41
rustic-bash-completion-0.9.3-r0.apk
8.67KB
2025-06-09 05:21:41
rustic-fish-completion-0.9.3-r0.apk
16.73KB
2025-06-09 05:21:41
rustic-zsh-completion-0.9.3-r0.apk
13.08KB
2025-06-09 05:21:41
rustical-0.9.14-r0.apk
4.42MB
2025-11-02 09:37:17
rustls-ffi-0.15.0-r0.apk
1.24MB
2025-12-27 00:37:09
rustls-ffi-dev-0.15.0-r0.apk
2.52MB
2025-12-27 00:37:09
rustscan-2.4.1-r0.apk
1.49MB
2025-12-22 04:32:07
ruuvi-prometheus-0.1.9-r7.apk
3.42MB
2025-12-05 00:38:31
ruuvi-prometheus-openrc-0.1.9-r7.apk
1.67KB
2025-12-05 00:38:31
rvlprog-0.91-r2.apk
27.42KB
2024-10-25 23:35:01
ry-0.5.2-r1.apk
4.62KB
2024-10-25 23:35:01
ry-bash-completion-0.5.2-r1.apk
1.95KB
2024-10-25 23:35:01
ry-zsh-completion-0.5.2-r1.apk
2.26KB
2024-10-25 23:35:01
rygel-45.0-r1.apk
817.68KB
2025-11-18 20:35:53
rygel-dev-45.0-r1.apk
42.67KB
2025-11-18 20:35:53
rygel-doc-45.0-r1.apk
9.67KB
2025-11-18 20:35:53
rygel-lang-45.0-r1.apk
583.64KB
2025-11-18 20:35:54
rygel-systemd-45.0-r1.apk
1.75KB
2025-11-18 20:35:54
s-dkim-sign-0.6.2-r0.apk
58.86KB
2024-10-25 23:35:01
s-dkim-sign-doc-0.6.2-r0.apk
8.52KB
2024-10-25 23:35:01
s-postgray-0.8.3-r0.apk
47.71KB
2024-10-25 23:35:01
s-postgray-doc-0.8.3-r0.apk
9.58KB
2024-10-25 23:35:01
s5cmd-2.3.0-r8.apk
5.18MB
2025-12-05 00:38:32
saait-0.8-r0.apk
7.10KB
2024-10-25 23:35:02
saait-doc-0.8-r0.apk
12.70KB
2024-10-25 23:35:02
sacc-1.07-r1.apk
16.18KB
2025-09-13 01:22:48
sacc-doc-1.07-r1.apk
2.88KB
2025-09-13 01:22:48
sandbar-0.2_git20250909-r0.apk
16.66KB
2025-12-21 16:38:06
satellite-1.0.0-r33.apk
2.28MB
2025-12-05 00:38:32
satellite-doc-1.0.0-r33.apk
3.02KB
2025-12-05 00:38:32
satellite-openrc-1.0.0-r33.apk
1.90KB
2025-12-05 00:38:32
sauerbraten-2020.12.29-r4.apk
934.19MB
2025-02-20 20:33:26
sbase-0_git20210730-r3.apk
125.04KB
2024-10-25 23:35:52
sbase-doc-0_git20210730-r3.apk
58.24KB
2024-10-25 23:35:52
sblg-0.5.11-r0.apk
38.99KB
2024-10-25 23:35:52
sblg-doc-0.5.11-r0.apk
1.35MB
2024-10-25 23:35:52
sblim-sfcc-2.2.8-r3.apk
56.93KB
2024-10-25 23:35:52
sblim-sfcc-dev-2.2.8-r3.apk
22.26KB
2024-10-25 23:35:52
sblim-sfcc-doc-2.2.8-r3.apk
34.58KB
2024-10-25 23:35:52
sblim-wbemcli-1.6.3-r1.apk
98.86KB
2024-10-25 23:35:52
sblim-wbemcli-doc-1.6.3-r1.apk
4.53KB
2024-10-25 23:35:52
sc-controller-0.5.5-r0.apk
1.27MB
2025-12-14 10:56:16
sc-controller-pyc-0.5.5-r0.apk
814.27KB
2025-12-14 10:56:16
sc-controller-udev-0.5.5-r0.apk
2.06KB
2025-12-14 10:56:16
scalingo-1.30.0-r15.apk
5.51MB
2025-12-05 00:38:32
scap-workbench-1.2.1-r3.apk
236.17KB
2024-10-25 23:35:53
scap-workbench-doc-1.2.1-r3.apk
1.59MB
2024-10-25 23:35:53
scenefx-0.4.1-r0.apk
60.60KB
2025-12-21 01:14:02
scenefx-dev-0.4.1-r0.apk
10.86KB
2025-12-21 01:14:02
scenefx-doc-0.4.1-r0.apk
2.28KB
2025-12-21 01:14:02
schismtracker-20251014-r0.apk
476.19KB
2025-10-25 02:51:10
schismtracker-doc-20251014-r0.apk
6.34KB
2025-10-25 02:51:10
scooper-1.3-r1.apk
537.85KB
2024-10-25 23:35:53
scooper-doc-1.3-r1.apk
2.59KB
2024-10-25 23:35:53
scratch-1.4.0.7-r2.apk
39.30MB
2025-10-09 15:30:53
scratch-doc-1.4.0.7-r2.apk
2.61KB
2025-10-09 15:30:53
screenkey-1.5-r7.apk
76.61KB
2025-07-22 23:46:19
screenkey-doc-1.5-r7.apk
10.97KB
2025-07-22 23:46:19
screenkey-pyc-1.5-r7.apk
73.14KB
2025-07-22 23:46:19
sct-2018.12.18-r1.apk
3.82KB
2024-10-25 23:35:55
sdl3_image-3.2.4-r0.apk
79.61KB
2025-03-24 22:18:09
sdl3_image-dev-3.2.4-r0.apk
12.05KB
2025-03-24 22:18:09
sdl3_image-doc-3.2.4-r0.apk
2.07KB
2025-03-24 22:18:09
sdparm-1.12-r1.apk
157.87KB
2024-10-25 23:36:03
sdparm-doc-1.12-r1.apk
19.33KB
2024-10-25 23:36:03
secsipidx-1.3.2-r17.apk
2.82MB
2025-12-05 00:38:32
secsipidx-dev-1.3.2-r17.apk
5.46MB
2025-12-05 00:38:33
secsipidx-libs-1.3.2-r17.apk
2.51MB
2025-12-05 00:38:33
sedutil-1.15.1-r1.apk
181.80KB
2024-10-25 23:36:04
sedutil-doc-1.15.1-r1.apk
3.07KB
2024-10-25 23:36:04
seed7-05.20240322-r0.apk
10.25MB
2024-10-25 23:36:04
seed7-doc-05.20240322-r0.apk
1.60MB
2024-10-25 23:36:04
seed7-nano-05.20240322-r0.apk
2.47KB
2024-10-25 23:36:04
seed7-vim-05.20240322-r0.apk
4.02KB
2024-10-25 23:36:04
semaphoreui-2.16.37-r1.apk
16.11MB
2025-12-05 00:38:33
semaphoreui-bash-completion-2.16.37-r1.apk
6.09KB
2025-12-05 00:38:33
semaphoreui-doc-2.16.37-r1.apk
2.32KB
2025-12-05 00:38:33
semaphoreui-fish-completion-2.16.37-r1.apk
4.32KB
2025-12-05 00:38:33
semaphoreui-openrc-2.16.37-r1.apk
2.09KB
2025-12-05 00:38:33
semaphoreui-zsh-completion-2.16.37-r1.apk
4.04KB
2025-12-05 00:38:33
sentinel-minipot-2.3.0-r1.apk
44.64KB
2025-07-17 08:57:52
sentinel-minipot-openrc-2.3.0-r1.apk
2.54KB
2025-07-17 08:57:52
sentinel-proxy-2.1.0-r1.apk
43.90KB
2025-07-17 08:57:52
sentinel-proxy-dev-2.1.0-r1.apk
4.46KB
2025-07-17 08:57:52
sentinel-proxy-openrc-2.1.0-r1.apk
2.24KB
2025-07-17 08:57:52
sentrypeer-4.0.4-r0.apk
1.81MB
2025-03-19 15:13:38
sentrypeer-doc-4.0.4-r0.apk
3.29KB
2025-03-19 15:13:38
serialdv-1.1.5-r0.apk
6.54KB
2025-10-24 23:26:45
serialdv-dev-1.1.5-r0.apk
5.35KB
2025-10-24 23:26:45
serialdv-libs-1.1.5-r0.apk
69.17KB
2025-10-24 23:26:45
setroot-2.0.2-r1.apk
12.33KB
2024-10-25 23:36:04
setroot-doc-2.0.2-r1.apk
4.44KB
2024-10-25 23:36:04
sflowtool-6.02-r0.apk
42.38KB
2024-10-25 23:36:04
sflowtool-doc-6.02-r0.apk
9.36KB
2024-10-25 23:36:04
sfwbar-1.0_beta161-r0.apk
293.39KB
2025-07-31 16:29:10
sfwbar-doc-1.0_beta161-r0.apk
26.51KB
2025-07-31 16:29:10
sgt-puzzles-0_git20230310-r2.apk
3.15MB
2024-10-25 23:36:04
shadowsocks-libev-3.3.5-r4.apk
231.43KB
2024-10-25 23:36:04
shadowsocks-libev-dev-3.3.5-r4.apk
3.47KB
2024-10-25 23:36:04
shadowsocks-libev-doc-3.3.5-r4.apk
27.99KB
2024-10-25 23:36:04
shc-4.0.3-r2.apk
21.11KB
2024-10-25 23:36:04
shellinabox-2.21-r3.apk
121.41KB
2024-10-25 23:36:04
shellinabox-doc-2.21-r3.apk
19.36KB
2024-10-25 23:36:04
shellinabox-openrc-2.21-r3.apk
3.53KB
2024-10-25 23:36:04
shine-3.1.1-r0.apk
55.70KB
2024-10-25 23:36:04
shipments-0.3.0-r0.apk
23.74KB
2024-10-25 23:36:04
shntool-3.0.10-r5.apk
59.95KB
2025-02-24 23:00:35
shntool-doc-3.0.10-r5.apk
10.27KB
2025-02-24 23:00:35
shutdown-clear-machine-id-1.0.0-r0.apk
1.81KB
2024-10-25 23:36:04
sigma-0.23.1-r1.apk
236.78KB
2024-10-25 23:36:04
sigma-pyc-0.23.1-r1.apk
340.02KB
2024-10-25 23:36:04
sigrok-cli-0.7.2-r0.apk
40.19KB
2024-10-25 23:36:05
sigrok-cli-doc-0.7.2-r0.apk
8.00KB
2024-10-25 23:36:05
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
13.07KB
2024-10-25 23:36:05
silc-client-1.1.11-r18.apk
910.98KB
2025-06-30 10:03:59
silc-client-doc-1.1.11-r18.apk
82.12KB
2025-06-30 10:03:59
simgear-2024.1.1-r0.apk
2.27MB
2025-03-05 02:19:52
simgear-dev-2024.1.1-r0.apk
404.08KB
2025-03-05 02:19:52
simh-3.11.1-r1.apk
3.09MB
2024-10-25 23:36:05
simp1e-cursors-0_git20250312-r0.apk
446.68KB
2025-03-19 15:13:38
simp1e-cursors-adw-0_git20250312-r0.apk
477.80KB
2025-03-19 15:13:38
simp1e-cursors-adw-dark-0_git20250312-r0.apk
481.99KB
2025-03-19 15:13:38
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
484.97KB
2025-03-19 15:13:38
simp1e-cursors-adw-left-0_git20250312-r0.apk
483.01KB
2025-03-19 15:13:38
simp1e-cursors-breeze-0_git20250312-r0.apk
504.24KB
2025-03-19 15:13:38
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
519.97KB
2025-03-19 15:13:38
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
526.31KB
2025-03-19 15:13:38
simp1e-cursors-breeze-left-0_git20250312-r0.apk
509.31KB
2025-03-19 15:13:38
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
535.02KB
2025-03-19 15:13:38
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
547.45KB
2025-03-19 15:13:38
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
527.56KB
2025-03-19 15:13:38
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
537.34KB
2025-03-19 15:13:38
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
532.66KB
2025-03-19 15:13:38
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
544.44KB
2025-03-19 15:13:38
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
529.89KB
2025-03-19 15:13:38
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
539.93KB
2025-03-19 15:13:38
simp1e-cursors-dark-0_git20250312-r0.apk
483.91KB
2025-03-19 15:13:38
simp1e-cursors-dark-left-0_git20250312-r0.apk
489.56KB
2025-03-19 15:13:39
simp1e-cursors-doc-0_git20250312-r0.apk
13.83KB
2025-03-19 15:13:39
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
524.98KB
2025-03-19 15:13:39
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
536.95KB
2025-03-19 15:13:39
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
517.12KB
2025-03-19 15:13:39
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
526.60KB
2025-03-19 15:13:39
simp1e-cursors-left-0_git20250312-r0.apk
448.50KB
2025-03-19 15:13:39
simp1e-cursors-mix-dark-0_git20250312-r0.apk
486.73KB
2025-03-19 15:13:39
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
492.19KB
2025-03-19 15:13:39
simp1e-cursors-mix-light-0_git20250312-r0.apk
449.54KB
2025-03-19 15:13:39
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
451.43KB
2025-03-19 15:13:39
simp1e-cursors-nord-dark-0_git20250312-r0.apk
535.63KB
2025-03-19 15:13:39
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
548.56KB
2025-03-19 15:13:39
simp1e-cursors-nord-light-0_git20250312-r0.apk
517.88KB
2025-03-19 15:13:39
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
524.68KB
2025-03-19 15:13:39
simp1e-cursors-rose-pine-0_git20250312-r0.apk
533.48KB
2025-03-19 15:13:39
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
538.40KB
2025-03-19 15:13:39
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
550.59KB
2025-03-19 15:13:39
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
545.13KB
2025-03-19 15:13:39
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
537.89KB
2025-03-19 15:13:39
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
550.18KB
2025-03-19 15:13:39
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
523.70KB
2025-03-19 15:13:39
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
535.01KB
2025-03-19 15:13:39
simp1e-cursors-solarized-light-0_git20250312-r0.apk
531.07KB
2025-03-19 15:13:39
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
542.04KB
2025-03-19 15:13:39
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
529.91KB
2025-03-19 15:13:40
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
540.95KB
2025-03-19 15:13:40
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
519.84KB
2025-03-19 15:13:40
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
526.90KB
2025-03-19 15:13:40
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
533.93KB
2025-03-19 15:13:40
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
545.64KB
2025-03-19 15:13:40
simp1e-cursors-zenburn-0_git20250312-r0.apk
529.26KB
2025-03-19 15:13:40
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
540.15KB
2025-03-19 15:13:40
simpleble-0.10.3-r0.apk
1.23KB
2025-07-11 05:17:01
simpleble-dev-0.10.3-r0.apk
27.93KB
2025-07-11 05:17:01
singular-4.4.1_p2-r1.apk
10.36MB
2025-11-26 10:27:07
singular-dev-4.4.1_p2-r1.apk
359.17KB
2025-11-26 10:27:07
singular-doc-4.4.1_p2-r1.apk
1.35MB
2025-11-26 10:27:07
singular-emacs-4.4.1_p2-r1.apk
101.58KB
2025-11-26 10:27:07
singular-static-4.4.1_p2-r1.apk
5.65MB
2025-11-26 10:27:07
sipexer-1.2.0-r8.apk
2.87MB
2025-12-05 00:38:34
sipgrep-2.2.0-r1.apk
27.12KB
2024-10-25 23:36:06
sloccount-2.26-r3.apk
61.00KB
2024-10-25 23:36:06
sloccount-doc-2.26-r3.apk
59.37KB
2024-10-25 23:36:06
slurm-0.4.4-r0.apk
14.03KB
2024-10-25 23:36:06
slurm-doc-0.4.4-r0.apk
2.26KB
2024-10-25 23:36:06
smassh-3.1.6-r0.apk
72.41KB
2024-11-21 04:57:02
smassh-pyc-3.1.6-r0.apk
70.23KB
2024-11-21 04:57:02
smile-2.11.0-r0.apk
873.33KB
2025-12-21 13:40:29
smile-lang-2.11.0-r0.apk
28.22KB
2025-12-21 13:40:29
smplxmpp-0.9.3-r5.apk
155.20KB
2025-10-12 17:17:32
smplxmpp-doc-0.9.3-r5.apk
24.83KB
2025-10-12 17:17:32
snapper-0.13.0-r0.apk
0.98MB
2025-09-16 21:45:31
snapper-bash-completion-0.13.0-r0.apk
3.37KB
2025-09-16 21:45:31
snapper-dev-0.13.0-r0.apk
10.73KB
2025-09-16 21:45:31
snapper-doc-0.13.0-r0.apk
25.26KB
2025-09-16 21:45:31
snapper-lang-0.13.0-r0.apk
218.95KB
2025-09-16 21:45:31
snapper-zsh-completion-0.13.0-r0.apk
3.57KB
2025-09-16 21:45:31
snapraid-13.0-r0.apk
281.66KB
2025-10-31 23:32:41
snapraid-doc-13.0-r0.apk
18.99KB
2025-10-31 23:32:41
snippets-ls-0.0.4_git20240617-r10.apk
1.38MB
2025-12-05 00:38:34
snore-0.3.1-r0.apk
4.42KB
2024-10-25 23:36:06
snore-doc-0.3.1-r0.apk
3.07KB
2024-10-25 23:36:06
so-0.4.10-r0.apk
2.35MB
2024-10-25 23:36:07
soapy-bladerf-0.4.2-r0.apk
49.07KB
2024-12-31 03:11:57
solanum-6.0.0-r0.apk
255.18KB
2025-07-19 14:38:17
solanum-lang-6.0.0-r0.apk
47.32KB
2025-07-19 14:38:17
solarus-engine-1.7.0-r2.apk
2.23MB
2025-07-20 22:31:26
solarus-engine-doc-1.7.0-r2.apk
3.35KB
2025-07-20 22:31:26
solarus-quest-editor-1.7.0-r1.apk
55.48MB
2025-02-20 20:33:29
somebar-1.0.3-r0.apk
50.30KB
2024-10-25 23:36:10
somebar-doc-1.0.3-r0.apk
2.42KB
2024-10-25 23:36:10
sonar-scanner-7.1.0.4889-r0.apk
10.69MB
2025-05-05 03:02:29
sonicradio-0.8.4-r2.apk
3.28MB
2025-12-05 00:38:34
sopwith-2.5.0-r0.apk
54.58KB
2024-10-25 23:36:10
sopwith-doc-2.5.0-r0.apk
14.87KB
2024-10-25 23:36:10
sos-0.8-r36.apk
2.80MB
2025-12-05 00:38:34
soundconverter-4.1.1-r0.apk
164.52KB
2025-07-29 16:12:24
soundconverter-doc-4.1.1-r0.apk
4.72KB
2025-07-29 16:12:24
soundconverter-lang-4.1.1-r0.apk
106.90KB
2025-07-29 16:12:24
soundconverter-pyc-4.1.1-r0.apk
76.64KB
2025-07-29 16:12:24
spacectl-1.12.0-r6.apk
5.86MB
2025-12-05 00:38:34
spacectl-bash-completion-1.12.0-r6.apk
2.05KB
2025-12-05 00:38:34
spacectl-doc-1.12.0-r6.apk
2.29KB
2025-12-05 00:38:34
spacectl-fish-completion-1.12.0-r6.apk
7.06KB
2025-12-05 00:38:34
spacectl-zsh-completion-1.12.0-r6.apk
1.81KB
2025-12-05 00:38:34
spacenavd-1.2-r0.apk
33.46KB
2024-10-25 23:36:10
spark-2.8.3-r1.apk
28.93MB
2024-10-25 23:36:12
speedcrunch-0.12-r3.apk
1.11MB
2024-10-25 23:36:12
speedtest-5.2.5-r1.apk
253.48KB
2024-10-25 23:36:12
speedtest-doc-5.2.5-r1.apk
18.40KB
2024-10-25 23:36:12
speedtest-examples-5.2.5-r1.apk
12.97KB
2024-10-25 23:36:12
speedtest-go-1.1.5-r20.apk
5.59MB
2025-12-05 00:38:34
speedtest-go-doc-1.1.5-r20.apk
4.51KB
2025-12-05 00:38:35
speedtest-go-openrc-1.1.5-r20.apk
1.74KB
2025-12-05 00:38:35
speedtest_exporter-0.3.2-r20.apk
4.01MB
2025-12-05 00:38:35
speedtest_exporter-openrc-0.3.2-r20.apk
1.86KB
2025-12-05 00:38:35
spice-html5-0.3.0-r1.apk
438.46KB
2024-10-25 23:36:12
spike-1.1.0-r0.apk
1.64MB
2024-10-25 23:36:12
spiped-1.6.4-r0.apk
76.80KB
2025-12-05 00:38:35
spnavcfg-1.1-r0.apk
38.09KB
2024-10-25 23:36:12
spread-sheet-widget-0.10-r0.apk
48.02KB
2024-10-25 23:36:12
spread-sheet-widget-dbg-0.10-r0.apk
194.86KB
2024-10-25 23:36:12
spread-sheet-widget-dev-0.10-r0.apk
372.04KB
2024-10-25 23:36:13
spread-sheet-widget-doc-0.10-r0.apk
4.64KB
2024-10-25 23:36:13
spreadtrum_flash-1.20240815-r0.apk
37.56KB
2025-05-08 14:05:34
sqlar-0_git20180107-r1.apk
12.43KB
2024-10-25 23:36:13
sqlar-doc-0_git20180107-r1.apk
3.31KB
2024-10-25 23:36:13
sqliteodbc-0.99991-r0.apk
92.95KB
2024-10-25 23:36:13
sqlmap-1.9.12-r0.apk
6.75MB
2025-12-12 22:05:24
sqlmap-pyc-1.9.12-r0.apk
1.17MB
2025-12-12 22:05:24
sqm-scripts-1.6.0-r0.apk
20.47KB
2024-10-25 23:36:13
squeak-vm-4.10.2.2614-r2.apk
645.71KB
2025-10-09 15:30:53
squeak-vm-doc-4.10.2.2614-r2.apk
12.34KB
2025-10-09 15:30:53
srain-1.8.1-r0.apk
165.02KB
2025-06-26 21:41:44
srain-lang-1.8.1-r0.apk
35.11KB
2025-06-26 21:41:44
sregex-0.0.1-r1.apk
21.99KB
2024-10-25 23:36:13
sregex-dev-0.0.1-r1.apk
26.24KB
2024-10-25 23:36:13
ssdfs-tools-4.09-r0.apk
96.92KB
2024-10-25 23:36:13
ssdfs-tools-dev-4.09-r0.apk
18.46KB
2024-10-25 23:36:13
ssh-get-id-0.2.0-r0.apk
2.40MB
2025-12-15 05:11:29
ssh-get-id-doc-0.2.0-r0.apk
2.27KB
2025-12-15 05:11:29
ssh-honeypot-0.1.1-r1.apk
9.29KB
2024-10-25 23:36:13
ssh-honeypot-openrc-0.1.1-r1.apk
2.06KB
2024-10-25 23:36:13
ssh-studio-1.3.1-r0.apk
202.38KB
2025-10-12 23:36:00
ssh-studio-lang-1.3.1-r0.apk
1.87KB
2025-10-12 23:36:00
ssh-studio-pyc-1.3.1-r0.apk
106.55KB
2025-10-12 23:36:00
ssh-tools-1.8-r0.apk
25.60KB
2024-10-25 23:36:13
sshm-1.9.0-r1.apk
4.00MB
2025-12-05 00:38:35
sshm-doc-1.9.0-r1.apk
2.25KB
2025-12-05 00:38:35
sshs-4.7.2-r0.apk
784.03KB
2025-04-24 02:13:24
sshsrv-1.0-r17.apk
1.02MB
2025-12-05 00:38:35
sshuttle-1.1.2-r0.apk
62.25KB
2024-10-25 23:36:13
sshuttle-doc-1.1.2-r0.apk
8.48KB
2024-10-25 23:36:13
sshuttle-pyc-1.1.2-r0.apk
100.70KB
2024-10-25 23:36:13
sssd-2.11.1-r2.apk
2.13MB
2025-11-20 09:32:30
sssd-dev-2.11.1-r2.apk
14.80KB
2025-11-20 09:32:30
sssd-openrc-2.11.1-r2.apk
1.68KB
2025-11-20 09:32:30
ssss-0.5.7-r0.apk
12.46KB
2024-10-25 23:36:13
ssss-doc-0.5.7-r0.apk
3.34KB
2024-10-25 23:36:13
stalwart-cli-0.15.2-r0.apk
1.99MB
2025-12-23 03:25:25
stalwart-mail-0.15.2-r0.apk
16.01MB
2025-12-23 03:25:26
stalwart-mail-openrc-0.15.2-r0.apk
2.07KB
2025-12-23 03:25:26
stam-0.12.2-r0.apk
1.74MB
2025-09-22 15:10:33
starfighter-2.4-r0.apk
47.87MB
2024-10-25 23:36:16
starfighter-doc-2.4-r0.apk
21.60KB
2024-10-25 23:36:16
startup-2.0.3-r5.apk
442.13KB
2024-10-25 23:36:16
startup-bridge-dconf-2.0.3-r5.apk
32.77KB
2024-10-25 23:36:16
startup-bridge-udev-2.0.3-r5.apk
32.44KB
2024-10-25 23:36:16
startup-dev-2.0.3-r5.apk
5.84KB
2024-10-25 23:36:16
startup-doc-2.0.3-r5.apk
47.59KB
2024-10-25 23:36:16
startup-fish-completion-2.0.3-r5.apk
5.38KB
2024-10-25 23:36:16
startup-lang-2.0.3-r5.apk
16.55KB
2024-10-25 23:36:16
startup-tools-2.0.3-r5.apk
13.31KB
2024-10-25 23:36:16
stayrtr-0.6.3-r1.apk
11.11MB
2025-12-05 00:38:36
stayrtr-openrc-0.6.3-r1.apk
1.98KB
2025-12-05 00:38:36
steghide-0.5.1.1-r0.apk
155.12KB
2024-10-25 23:36:17
steghide-doc-0.5.1.1-r0.apk
13.72KB
2024-10-25 23:36:17
stern-1.33.0-r1.apk
18.87MB
2025-12-05 00:38:36
stern-bash-completion-1.33.0-r1.apk
5.84KB
2025-12-05 00:38:36
stern-fish-completion-1.33.0-r1.apk
4.32KB
2025-12-05 00:38:36
stern-zsh-completion-1.33.0-r1.apk
4.04KB
2025-12-05 00:38:36
sthttpd-2.27.1-r2.apk
59.67KB
2024-10-25 23:36:18
sthttpd-doc-2.27.1-r2.apk
18.22KB
2024-10-25 23:36:18
sthttpd-openrc-2.27.1-r2.apk
1.95KB
2024-10-25 23:36:18
stone-soup-0.33.1-r0.apk
30.37MB
2025-12-26 16:52:54
stw-0.3-r0.apk
8.19KB
2024-10-25 23:36:19
stw-doc-0.3-r0.apk
2.53KB
2024-10-25 23:36:19
subliminal-2.4.0-r0.apk
89.77KB
2025-11-24 01:10:44
subliminal-pyc-2.4.0-r0.apk
178.97KB
2025-11-24 01:10:44
sudo-ldap-1.9.17_p1-r0.apk
782.55KB
2025-07-01 22:04:16
supermin-5.2.2-r2.apk
601.46KB
2024-10-25 23:36:20
supermin-doc-5.2.2-r2.apk
9.36KB
2024-10-25 23:36:20
supersonik-0.1.0-r3.apk
1.06MB
2025-12-05 00:38:37
surf-2.1-r3.apk
23.92KB
2024-10-25 23:36:20
surf-doc-2.1-r3.apk
4.64KB
2024-10-25 23:36:20
surfraw-2.3.0-r0.apk
78.73KB
2024-10-25 23:36:20
surfraw-doc-2.3.0-r0.apk
17.70KB
2024-10-25 23:36:20
suru-icon-theme-2025.05.0-r0.apk
2.87MB
2025-05-09 20:32:19
svgbob-0.7.6-r0.apk
462.59KB
2025-07-18 08:45:28
svls-0.2.14-r0.apk
4.07MB
2025-12-13 04:58:19
svls-doc-0.2.14-r0.apk
2.23KB
2025-12-13 04:58:19
swaks-20240103.0-r0.apk
66.09KB
2024-10-25 23:36:20
swaks-doc-20240103.0-r0.apk
49.64KB
2024-10-25 23:36:20
swappy-1.7.1-r0.apk
29.79KB
2025-08-22 02:29:43
swappy-doc-1.7.1-r0.apk
3.81KB
2025-08-22 02:29:43
swappy-lang-1.7.1-r0.apk
3.79KB
2025-08-22 02:29:43
sway-audio-idle-inhibit-0.1.2-r0.apk
10.16KB
2024-10-25 23:36:20
swi-prolog-9.2.9-r0.apk
5.10MB
2024-12-21 12:08:35
swi-prolog-doc-9.2.9-r0.apk
2.06MB
2024-12-21 12:08:35
swi-prolog-pyc-9.2.9-r0.apk
22.45KB
2024-12-21 12:08:35
swi-prolog-xpce-9.2.9-r0.apk
921.78KB
2024-12-21 12:08:35
swi-prolog-xpce-doc-9.2.9-r0.apk
1.04MB
2024-12-21 12:08:35
sxcs-1.1.0-r0.apk
8.93KB
2024-10-25 23:36:21
sxcs-doc-1.1.0-r0.apk
2.64KB
2024-10-25 23:36:21
sylpheed-imap-notify-1.1.0-r2.apk
8.63KB
2024-10-25 23:36:21
symengine-0.12.0-r0.apk
2.83MB
2024-10-25 23:36:21
symlinks-1.4.3-r0.apk
6.08KB
2025-04-22 00:27:19
symlinks-doc-1.4.3-r0.apk
3.85KB
2025-04-22 00:27:19
sympow-2.023.7-r2.apk
1.80MB
2024-10-25 23:36:21
sympow-doc-2.023.7-r2.apk
3.08KB
2024-10-25 23:36:21
syncthing-gtk-0.9.4.5-r2.apk
439.96KB
2024-10-25 23:36:21
syncthing-gtk-doc-0.9.4.5-r2.apk
2.20KB
2024-10-25 23:36:21
syncthing-gtk-pyc-0.9.4.5-r2.apk
220.60KB
2024-10-25 23:36:21
syncwhen-0.3-r0.apk
5.29KB
2025-11-01 19:27:14
syncwhen-systemd-0.3-r0.apk
1.77KB
2025-11-01 19:27:14
t2sz-1.1.2-r0.apk
8.91KB
2024-10-25 23:36:21
tabiew-0.11.0-r0.apk
14.57MB
2025-07-30 10:43:32
tachyon-0.99_beta6-r2.apk
102.35KB
2025-12-10 19:54:02
tachyon-scenes-0.99_beta6-r2.apk
1.93MB
2025-12-10 19:54:02
tailspin-5.5.0-r0.apk
1.20MB
2025-10-28 08:57:46
tailspin-bash-completion-5.5.0-r0.apk
2.24KB
2025-10-28 08:57:46
tailspin-doc-5.5.0-r0.apk
3.00KB
2025-10-28 08:57:46
tailspin-fish-completion-5.5.0-r0.apk
2.11KB
2025-10-28 08:57:46
tailspin-zsh-completion-5.5.0-r0.apk
2.46KB
2025-10-28 08:57:46
tang-15-r0.apk
16.53KB
2025-01-20 06:17:23
tang-dbg-15-r0.apk
31.46KB
2025-01-20 06:17:23
tang-doc-15-r0.apk
20.57KB
2025-01-20 06:17:23
tang-openrc-15-r0.apk
1.89KB
2025-01-20 06:17:23
tangctl-0_git20241007-r9.apk
2.68MB
2025-12-05 00:38:37
tanidvr-1.4.1-r2.apk
22.69KB
2025-03-21 13:52:39
tanidvr-dhav2mkv-1.4.1-r2.apk
11.19KB
2025-03-21 13:52:39
tanka-0.35.0-r1.apk
7.32MB
2025-12-05 00:38:37
taskcafe-0.3.6-r18.apk
14.05MB
2025-12-05 00:38:38
taskcafe-openrc-0.3.6-r18.apk
1.82KB
2025-12-05 00:38:38
tayga-0.9.5-r0.apk
27.76KB
2025-06-20 10:58:57
tayga-doc-0.9.5-r0.apk
6.05KB
2025-06-20 10:58:57
tcl-curl-7.22.0-r0.apk
33.13KB
2024-10-25 23:36:22
tcl-curl-doc-7.22.0-r0.apk
37.66KB
2024-10-25 23:36:22
tcmu-runner-1.6.0-r6.apk
86.27KB
2024-10-25 23:36:22
tcmu-runner-doc-1.6.0-r6.apk
2.51KB
2024-10-25 23:36:22
tcmu-runner-rbd-1.6.0-r6.apk
12.98KB
2024-10-25 23:36:22
tcpbench-3.00-r1.apk
13.18KB
2025-09-13 01:22:48
tcpbench-doc-3.00-r1.apk
5.25KB
2025-09-13 01:22:48
tdrop-0.5.0-r0.apk
11.82KB
2024-10-25 23:36:22
tdrop-doc-0.5.0-r0.apk
8.86KB
2024-10-25 23:36:22
tealdeer-1.8.0-r0.apk
844.63KB
2025-10-28 04:42:28
tealdeer-bash-completion-1.8.0-r0.apk
2.03KB
2025-10-28 04:42:28
tealdeer-fish-completion-1.8.0-r0.apk
2.27KB
2025-10-28 04:42:28
tealdeer-zsh-completion-1.8.0-r0.apk
2.38KB
2025-10-28 04:42:28
teapot-tools-0.4.2-r2.apk
2.30MB
2024-10-25 23:36:23
templ-0.3.960-r1.apk
5.53MB
2025-12-05 00:38:38
tempo-2.9.0-r1.apk
31.73MB
2025-12-05 00:38:40
tempo-cli-2.9.0-r1.apk
22.70MB
2025-12-05 00:38:41
tempo-openrc-2.9.0-r1.apk
1.86KB
2025-12-05 00:38:41
tempo-query-2.9.0-r1.apk
6.80MB
2025-12-05 00:38:41
tempo-vulture-2.9.0-r1.apk
15.04MB
2025-12-05 00:38:42
tempo-vulture-openrc-2.9.0-r1.apk
1.97KB
2025-12-05 00:38:42
tenv-4.9.0-r1.apk
9.80MB
2025-12-05 00:38:42
tenv-bash-completion-4.9.0-r1.apk
6.10KB
2025-12-05 00:38:42
tenv-fish-completion-4.9.0-r1.apk
4.32KB
2025-12-05 00:38:42
tenv-zsh-completion-4.9.0-r1.apk
4.04KB
2025-12-05 00:38:42
termbox-1.1.2-r1.apk
12.86KB
2024-10-25 23:36:23
termbox-dev-1.1.2-r1.apk
5.74KB
2024-10-25 23:36:23
termbox-static-1.1.2-r1.apk
13.24KB
2024-10-25 23:36:23
termcolor-2.1.0-r0.apk
1.47KB
2024-10-25 23:36:23
termcolor-dev-2.1.0-r0.apk
6.84KB
2024-10-25 23:36:23
terminalpp-0.8.4-r0.apk
402.48KB
2024-10-25 23:36:23
terminalpp-ropen-0.8.4-r0.apk
57.94KB
2024-10-25 23:36:23
termusic-mpv-0.12.0-r0.apk
9.89MB
2025-10-13 04:33:33
texlab-5.23.1-r0.apk
8.80MB
2025-08-22 10:31:06
tfupdate-0.8.2-r11.apk
4.96MB
2025-12-05 00:38:43
tfupdate-doc-0.8.2-r11.apk
2.27KB
2025-12-05 00:38:43
theforceengine-1.09.540-r1.apk
6.98MB
2024-10-25 23:36:25
theforceengine-doc-1.09.540-r1.apk
6.32MB
2024-10-25 23:36:25
thefuck-3.32-r5.apk
83.34KB
2024-10-25 23:36:25
thefuck-pyc-3.32-r5.apk
155.72KB
2024-10-25 23:36:25
thelounge-4.4.3-r0.apk
28.30MB
2024-10-25 23:36:27
thelounge-doc-4.4.3-r0.apk
2.30KB
2024-10-25 23:36:27
thelounge-openrc-4.4.3-r0.apk
2.03KB
2024-10-25 23:36:27
theme.sh-1.1.5-r0.apk
39.08KB
2024-10-25 23:36:27
theme.sh-doc-1.1.5-r0.apk
2.32KB
2024-10-25 23:36:27
throttled-0.10.0-r1.apk
14.56KB
2024-12-15 21:23:02
throttled-openrc-0.10.0-r1.apk
1.61KB
2024-12-15 21:23:02
throttled-pyc-0.10.0-r1.apk
28.37KB
2024-12-15 21:23:02
thumbdrives-0.3.2-r2.apk
10.95KB
2024-10-25 23:36:27
thunar-gtkhash-plugin-1.5-r0.apk
23.77KB
2025-03-10 20:17:13
thunarx-python-0.5.2-r2.apk
10.13KB
2024-10-25 23:36:27
thunarx-python-doc-0.5.2-r2.apk
25.20KB
2024-10-25 23:36:27
tick-1.2.3-r0.apk
10.85KB
2025-10-06 09:06:11
tick-doc-1.2.3-r0.apk
5.51KB
2025-10-06 09:06:11
ticker-5.0.7-r7.apk
3.57MB
2025-12-05 00:38:43
ticker-bash-completion-5.0.7-r7.apk
6.12KB
2025-12-05 00:38:43
ticker-fish-completion-5.0.7-r7.apk
4.34KB
2025-12-05 00:38:43
ticker-zsh-completion-5.0.7-r7.apk
4.06KB
2025-12-05 00:38:43
timeshift-25.12.2-r0.apk
471.49KB
2025-12-23 23:07:04
timeshift-doc-25.12.2-r0.apk
3.16KB
2025-12-23 23:07:04
timeshift-lang-25.12.2-r0.apk
1.02MB
2025-12-23 23:07:04
timew-1.4.3-r1.apk
259.54KB
2024-10-25 23:36:28
timew-bash-completion-1.4.3-r1.apk
2.76KB
2024-10-25 23:36:28
timew-doc-1.4.3-r1.apk
53.15KB
2024-10-25 23:36:28
timewarrior-1.7.1-r0.apk
273.84KB
2024-10-25 23:36:28
timewarrior-doc-1.7.1-r0.apk
22.20KB
2024-10-25 23:36:28
timoni-0.23.0-r10.apk
23.88MB
2025-12-05 00:38:44
timoni-bash-completion-0.23.0-r10.apk
7.96KB
2025-12-05 00:38:44
timoni-doc-0.23.0-r10.apk
337.93KB
2025-12-05 00:38:44
timoni-fish-completion-0.23.0-r10.apk
4.32KB
2025-12-05 00:38:44
timoni-zsh-completion-0.23.0-r10.apk
4.04KB
2025-12-05 00:38:44
tintin-2.02.51-r0.apk
1.86MB
2025-11-24 14:41:47
tinyemu-2019.12.21-r0.apk
176.40KB
2025-05-26 02:36:01
tinygltf-2.9.7-r0.apk
142.03KB
2025-11-02 22:50:49
tinygltf-dev-2.9.7-r0.apk
57.17KB
2025-11-02 22:50:49
tinyscheme-1.42-r1.apk
59.36KB
2024-10-25 23:36:28
tldr-python-client-3.3.0-r0.apk
12.11KB
2024-12-01 19:09:17
tldr-python-client-doc-3.3.0-r0.apk
3.50KB
2024-12-01 19:09:17
tldr-python-client-pyc-3.3.0-r0.apk
14.17KB
2024-12-01 19:09:17
tmate-2.4.0-r4.apk
259.64KB
2024-10-25 23:36:28
tmate-doc-2.4.0-r4.apk
71.72KB
2024-10-25 23:36:28
tmpl-0.4.0-r16.apk
2.73MB
2025-12-05 00:38:44
tmpl-doc-0.4.0-r16.apk
2.25KB
2025-12-05 00:38:44
tmpmail-1.2.3-r2.apk
7.02KB
2024-10-25 23:36:28
tmpmail-doc-1.2.3-r2.apk
3.25KB
2024-10-25 23:36:28
tmux-resurrect-4.0.0-r0.apk
13.85KB
2024-10-25 23:36:28
tmux-resurrect-doc-4.0.0-r0.apk
8.39KB
2024-10-25 23:36:28
tncattach-0.1.9-r1.apk
23.60KB
2024-10-25 23:36:28
tncattach-doc-0.1.9-r1.apk
3.91KB
2024-10-25 23:36:28
tnef-1.4.18-r0.apk
26.25KB
2024-10-25 23:36:28
tnef-doc-1.4.18-r0.apk
4.22KB
2024-10-25 23:36:28
toapk-1.0-r0.apk
10.62KB
2024-10-25 23:36:28
today-6.2.1-r0.apk
3.18KB
2025-06-17 18:29:20
today-doc-6.2.1-r0.apk
3.30KB
2025-06-17 18:29:20
tomcat9-9.0.112-r0.apk
6.76MB
2025-12-01 22:50:02
tomcat9-admin-9.0.112-r0.apk
127.14KB
2025-12-01 22:50:02
tomcat9-doc-9.0.112-r0.apk
1.73MB
2025-12-01 22:50:03
tomcat9-examples-9.0.112-r0.apk
438.60KB
2025-12-01 22:50:03
tomcat9-openrc-9.0.112-r0.apk
4.60KB
2025-12-01 22:50:03
toml2json-1.3.2-r0.apk
361.96KB
2025-09-01 16:13:22
toml2json-doc-1.3.2-r0.apk
3.70KB
2025-09-01 16:13:22
topgit-0.19.13-r1.apk
126.66KB
2024-10-25 23:36:29
topgit-bash-completion-0.19.13-r1.apk
4.03KB
2024-10-25 23:36:29
topgit-doc-0.19.13-r1.apk
73.42KB
2024-10-25 23:36:29
torrent-file-editor-0.3.18-r0.apk
368.76KB
2024-10-25 23:36:29
toss-1.1-r1.apk
11.76KB
2025-05-29 14:59:17
touchpad-emulator-0.3-r0.apk
13.45KB
2025-05-26 12:33:50
toybox-0.8.13-r0.apk
260.95KB
2025-10-16 13:30:59
tpm2-pkcs11-1.9.2-r0.apk
133.05KB
2025-12-13 18:14:14
tpm2-pkcs11-dev-1.9.2-r0.apk
1.89KB
2025-12-13 18:14:14
tpm2-pkcs11-pyc-1.9.2-r0.apk
69.91KB
2025-12-13 18:14:14
tpp-bypass-0.8.4-r0.apk
13.04KB
2024-10-25 23:36:29
tqm-1.18.0-r0.apk
4.74MB
2025-12-13 19:47:59
trace-cmd-3.3.1-r1.apk
171.77KB
2025-01-19 13:58:16
trace-cmd-bash-completion-3.3.1-r1.apk
3.35KB
2025-01-19 13:58:16
trace-cmd-dbg-3.3.1-r1.apk
507.91KB
2025-01-19 13:58:16
trace-cmd-doc-3.3.1-r1.apk
171.26KB
2025-01-19 13:58:16
transito-0.10.0-r0.apk
8.67MB
2025-12-22 00:45:57
transito-doc-0.10.0-r0.apk
755.83KB
2025-12-22 00:45:57
transmission-remote-gtk-1.6.0-r0.apk
151.11KB
2024-10-25 23:36:30
transmission-remote-gtk-doc-1.6.0-r0.apk
4.22KB
2024-10-25 23:36:30
transmission-remote-gtk-lang-1.6.0-r0.apk
106.01KB
2024-10-25 23:36:30
trantor-1.5.18-r0.apk
244.83KB
2024-10-25 23:36:30
trantor-dev-1.5.18-r0.apk
34.00KB
2024-10-25 23:36:30
trantor-doc-1.5.18-r0.apk
2.62KB
2024-10-25 23:36:30
tre-0.8.0-r2.apk
28.17KB
2024-10-25 23:36:30
tre-dev-0.8.0-r2.apk
5.17KB
2024-10-25 23:36:30
tre-static-0.8.0-r2.apk
30.24KB
2024-10-25 23:36:30
tree-sitter-caddy-0_git20230322-r0.apk
77.15KB
2024-10-25 23:36:30
tree-sitter-caddy-doc-0_git20230322-r0.apk
2.29KB
2024-10-25 23:36:30
tree-sitter-clojure-0.0.13-r0.apk
24.43KB
2025-07-23 14:59:44
tree-sitter-dart-0_git20250228-r0.apk
107.53KB
2025-03-19 15:13:53
tree-sitter-git-commit-0_git20211225-r4.apk
14.31KB
2025-07-25 15:56:00
tree-sitter-git-diff-0_git20230730-r1.apk
10.57KB
2025-07-25 15:56:31
tree-sitter-git-rebase-0_git20240722-r0.apk
5.24KB
2025-03-19 15:13:53
tree-sitter-gleam-1.1.0-r0.apk
68.20KB
2025-10-09 02:22:58
tree-sitter-hare-0_git20230616-r2.apk
36.14KB
2025-07-22 23:12:35
tree-sitter-haskell-0.23.1-r0.apk
302.30KB
2025-01-09 17:53:06
tree-sitter-hcl-1.2.0-r0.apk
25.09KB
2025-06-19 03:16:45
tree-sitter-just-0_git20230318-r0.apk
15.32KB
2024-10-25 23:36:30
tree-sitter-make-0_git20211216-r2.apk
45.03KB
2024-10-25 23:36:30
tree-sitter-pascal-0.9.1-r0.apk
86.64KB
2024-10-25 23:36:30
tree-sitter-pascal-doc-0.9.1-r0.apk
2.27KB
2024-10-25 23:36:30
tremc-0.9.4-r0.apk
52.58KB
2025-07-16 07:05:41
tremc-bash-completion-0.9.4-r0.apk
1.90KB
2025-07-16 07:05:41
tremc-doc-0.9.4-r0.apk
2.82KB
2025-07-16 07:05:41
tremc-zsh-completion-0.9.4-r0.apk
1.82KB
2025-07-16 07:05:41
trigger-rally-0.6.7-r3.apk
301.55KB
2025-03-25 17:17:15
trigger-rally-data-0.6.7-r3.apk
351.99MB
2025-03-25 17:17:33
trigger-rally-doc-0.6.7-r3.apk
28.13KB
2025-03-25 17:17:33
trippy-0.13.0-r0.apk
2.25MB
2025-05-19 02:20:32
trippy-bash-completion-0.13.0-r0.apk
3.18KB
2025-05-19 02:20:32
trippy-zsh-completion-0.13.0-r0.apk
4.85KB
2025-05-19 02:20:32
trivy-0.68.2-r0.apk
67.56MB
2025-12-19 14:03:18
tsung-1.8.0-r3.apk
721.75KB
2025-06-13 08:36:38
ttfautohint-1.8.4-r0.apk
30.45KB
2024-10-25 23:36:49
ttfautohint-dev-1.8.4-r0.apk
160.74KB
2024-10-25 23:36:49
ttfautohint-doc-1.8.4-r0.apk
8.10KB
2024-10-25 23:36:49
ttfautohint-gui-1.8.4-r0.apk
61.37KB
2024-10-25 23:36:49
ttfautohint-libs-1.8.4-r0.apk
111.18KB
2024-10-25 23:36:49
tty-clock-2.3_git20240104-r0.apk
8.87KB
2024-10-25 23:36:49
tty-clock-doc-2.3_git20240104-r0.apk
3.12KB
2024-10-25 23:36:49
tty-proxy-0.0.2-r33.apk
2.64MB
2025-12-05 00:38:48
tty-share-2.4.0-r23.apk
3.84MB
2025-12-05 00:38:49
ttynvt-0.17-r0.apk
15.17KB
2025-08-22 15:50:53
ttyper-1.6.0-r0.apk
686.34KB
2025-02-05 23:45:53
tuckr-0.12.0-r0.apk
525.28KB
2025-11-30 22:12:32
tui-journal-0.10.0-r0.apk
2.04MB
2025-07-17 08:57:52
tui-journal-doc-0.10.0-r0.apk
6.85KB
2025-07-17 08:57:52
tup-0.7.11-r1.apk
242.59KB
2025-06-19 12:30:10
tup-doc-0.7.11-r1.apk
20.87KB
2025-06-19 12:30:10
tup-vim-0.7.11-r1.apk
2.51KB
2025-06-19 12:30:10
tuptime-5.2.4-r2.apk
13.97KB
2025-09-05 09:56:16
tuptime-doc-5.2.4-r2.apk
3.80KB
2025-09-05 09:56:16
tuptime-openrc-5.2.4-r2.apk
1.75KB
2025-09-05 09:56:16
turn-rs-3.4.0-r1.apk
593.68KB
2025-06-12 16:21:10
turn-rs-doc-3.4.0-r1.apk
10.83KB
2025-06-12 16:21:10
turn-rs-openrc-3.4.0-r1.apk
1.96KB
2025-06-12 16:21:10
turnstile-0.1.10-r3.apk
38.83KB
2024-10-25 23:36:50
turnstile-doc-0.1.10-r3.apk
5.71KB
2024-10-25 23:36:50
turnstile-openrc-0.1.10-r3.apk
1.80KB
2024-10-25 23:36:50
turntable-0.3.3-r0.apk
187.45KB
2025-05-27 16:01:45
turntable-lang-0.3.3-r0.apk
12.34KB
2025-05-27 16:01:45
tuwunel-1.4.8-r0.apk
12.84MB
2025-12-27 00:19:22
tuwunel-openrc-1.4.8-r0.apk
1.95KB
2025-12-27 00:19:22
twemproxy-0.5.0-r0.apk
67.98KB
2024-10-25 23:36:50
twemproxy-doc-0.5.0-r0.apk
17.20KB
2024-10-25 23:36:50
twinkle-1.10.3-r3.apk
2.44MB
2025-01-04 23:55:31
twinkle-doc-1.10.3-r3.apk
3.50KB
2025-01-04 23:55:31
typobuster-1.0.0-r0.apk
129.35KB
2025-04-24 02:13:24
typstyle-0.12.14-r0.apk
609.99KB
2025-01-12 15:44:45
u1db-qt-0.1.8-r0.apk
98.09KB
2024-10-25 23:36:50
uasm-2.56.2-r0.apk
304.93KB
2024-10-25 23:36:50
ubase-20200605-r3.apk
44.70KB
2024-10-25 23:36:50
ubase-doc-20200605-r3.apk
21.23KB
2024-10-25 23:36:50
ubuntu-archive-keyring-2023.11.28.1-r0.apk
16.16KB
2024-10-25 23:36:50
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2.29KB
2024-10-25 23:36:50
ubus-2025.10.17-r0.apk
36.19KB
2025-10-25 15:58:01
ubus-dev-2025.10.17-r0.apk
5.60KB
2025-10-25 15:58:01
uclient-20241022-r0.apk
19.15KB
2025-05-30 01:00:24
uclient-dev-20241022-r0.apk
3.55KB
2025-05-30 01:00:24
uclient-fetch-20241022-r0.apk
10.78KB
2025-05-30 01:00:24
udpt-3.1.2-r0.apk
852.99KB
2024-10-25 23:36:50
udpt-openrc-3.1.2-r0.apk
1.81KB
2024-10-25 23:36:50
ueberzug-18.3.1-r0.apk
65.61KB
2025-02-22 13:09:20
ueberzug-pyc-18.3.1-r0.apk
63.77KB
2025-02-22 13:09:20
ufw-docker-250710-r0.apk
7.42KB
2025-09-14 09:52:02
ufw-docker-doc-250710-r0.apk
13.57KB
2025-09-14 09:52:02
undock-0.10.0-r5.apk
10.32MB
2025-12-05 00:38:49
unit-php81-1.35.0-r1.apk
30.76KB
2025-09-15 18:03:42
unit-php82-1.35.0-r0.apk
30.78KB
2025-10-24 05:09:28
up-0.4-r34.apk
1.25MB
2025-12-05 00:38:49
update-sysfs-2.1.1_p7-r0.apk
3.13KB
2025-11-12 12:45:06
upterm-0.17.0-r1.apk
7.23MB
2025-12-05 00:38:50
upterm-bash-completion-0.17.0-r1.apk
5.56KB
2025-12-05 00:38:50
upterm-doc-0.17.0-r1.apk
7.04KB
2025-12-05 00:38:50
upterm-server-0.17.0-r1.apk
6.81MB
2025-12-05 00:38:50
upterm-server-openrc-0.17.0-r1.apk
1.96KB
2025-12-05 00:38:50
upterm-zsh-completion-0.17.0-r1.apk
4.04KB
2025-12-05 00:38:50
uranium-5.2.2-r3.apk
595.54KB
2024-10-25 23:36:52
urlwatch-2.28-r2.apk
48.71KB
2024-10-25 23:36:52
urlwatch-doc-2.28-r2.apk
33.19KB
2024-10-25 23:36:52
urlwatch-pyc-2.28-r2.apk
101.38KB
2024-10-25 23:36:52
usb-moded-notify-0.3.0-r0.apk
3.10KB
2025-12-28 20:17:01
usb-moded-notify-systemd-0.3.0-r0.apk
1.78KB
2025-12-28 20:17:01
usbtop-1.0-r0.apk
13.86KB
2025-04-15 04:17:53
usql-0.19.26-r1.apk
45.07MB
2025-12-05 00:38:52
ustr-1.0.4-r1.apk
61.81KB
2024-10-25 23:36:54
ustr-debug-1.0.4-r1.apk
78.22KB
2024-10-25 23:36:54
ustr-dev-1.0.4-r1.apk
91.45KB
2024-10-25 23:36:54
ustr-doc-1.0.4-r1.apk
96.58KB
2024-10-25 23:36:54
ustr-static-1.0.4-r1.apk
165.27KB
2024-10-25 23:36:54
utop-2.9.1-r4.apk
13.28MB
2024-10-25 23:36:55
utop-common-2.9.1-r4.apk
2.04KB
2024-10-25 23:36:55
utop-doc-2.9.1-r4.apk
5.75KB
2024-10-25 23:36:55
utop-emacs-2.9.1-r4.apk
12.84KB
2024-10-25 23:36:55
utop-full-2.9.1-r4.apk
13.29MB
2024-10-25 23:36:56
uucp-1.07-r6.apk
528.92KB
2024-10-25 23:36:56
uucp-doc-1.07-r6.apk
118.10KB
2024-10-25 23:36:56
uxn-1.0-r0.apk
46.61KB
2024-10-25 23:36:56
uxn-doc-1.0-r0.apk
4.18KB
2024-10-25 23:36:56
valent-1.0.0_alpha49-r0.apk
362.18KB
2025-12-24 20:31:37
valent-dev-1.0.0_alpha49-r0.apk
94.76KB
2025-12-24 20:31:37
valent-lang-1.0.0_alpha49-r0.apk
38.80KB
2025-12-24 20:31:37
valent-libs-1.0.0_alpha49-r0.apk
140.33KB
2025-12-24 20:31:37
vali-0.1.0-r1.apk
31.04KB
2025-11-30 22:12:32
vali-dev-0.1.0-r1.apk
4.31KB
2025-11-30 22:12:32
vals-0.42.4-r1.apk
37.35MB
2025-12-05 00:38:54
varnish-modules-0.26.0-r0.apk
41.29KB
2025-07-06 21:54:35
varnish-modules-doc-0.26.0-r0.apk
21.54KB
2025-07-06 21:54:35
vcdimager-2.0.1-r5.apk
484.52KB
2025-01-22 12:33:46
vcdimager-dev-2.0.1-r5.apk
121.05KB
2025-01-22 12:33:46
vcdimager-doc-2.0.1-r5.apk
74.29KB
2025-01-22 12:33:46
vcsh-2.0.5-r0.apk
8.80KB
2024-10-25 23:36:58
vcsh-bash-completion-2.0.5-r0.apk
2.92KB
2024-10-25 23:36:58
vcsh-doc-2.0.5-r0.apk
27.19KB
2024-10-25 23:36:58
vcsh-zsh-completion-2.0.5-r0.apk
2.93KB
2024-10-25 23:36:58
vcstool-0.3.0-r5.apk
34.80KB
2024-10-25 23:36:58
vcstool-bash-completion-0.3.0-r5.apk
1.76KB
2024-10-25 23:36:58
vcstool-pyc-0.3.0-r5.apk
57.72KB
2024-10-25 23:36:58
vcstool-tcsh-completion-0.3.0-r5.apk
1.63KB
2024-10-25 23:36:58
vcstool-zsh-completion-0.3.0-r5.apk
1.72KB
2024-10-25 23:36:58
vectoroids-1.1.0-r2.apk
283.42KB
2024-10-25 23:36:58
vectoroids-doc-1.1.0-r2.apk
2.29KB
2024-10-25 23:36:58
vera++-1.3.0-r11.apk
199.88KB
2025-10-12 17:32:37
vertigo-0.3.4-r1.apk
1.65MB
2025-12-05 00:38:54
vertigo-doc-0.3.4-r1.apk
1.82KB
2025-12-05 00:38:54
vfd-configurations-0_git20230612-r0.apk
24.80KB
2024-10-25 23:36:58
vice-3.9-r2.apk
14.40MB
2025-09-29 22:34:40
vidcutter-6.0.5.3-r0.apk
2.80MB
2024-10-25 23:36:59
vidcutter-doc-6.0.5.3-r0.apk
24.20KB
2024-10-25 23:36:59
vidcutter-pyc-6.0.5.3-r0.apk
1.90MB
2024-10-25 23:36:59
video-trimmer-25.03-r0.apk
411.09KB
2025-06-30 16:45:20
video-trimmer-lang-25.03-r0.apk
92.58KB
2025-06-30 16:45:20
viewnior-1.8-r1.apk
77.45KB
2024-10-25 23:36:59
viewnior-doc-1.8-r1.apk
2.10KB
2024-10-25 23:36:59
viewnior-lang-1.8-r1.apk
85.15KB
2024-10-25 23:36:59
vile-9.8z_p1-r2.apk
863.68KB
2025-10-06 12:09:58
vile-common-9.8z_p1-r2.apk
355.32KB
2025-10-06 12:09:58
vile-doc-9.8z_p1-r2.apk
357.18KB
2025-10-06 12:09:58
vim-airline-0.11-r0.apk
86.39KB
2024-10-25 23:36:59
vim-airline-doc-0.11-r0.apk
12.13KB
2024-10-25 23:36:59
vim-nerdtree-7.1.3-r0.apk
66.56KB
2025-03-04 23:00:20
vim-rust-305-r1.apk
19.90KB
2025-08-21 11:04:02
vimv-3.1.0-r0.apk
268.12KB
2025-10-13 00:19:30
vimv-doc-3.1.0-r0.apk
2.00KB
2025-10-13 00:19:30
virtctl-1.6.2-r1.apk
15.46MB
2025-12-05 00:38:55
virtctl-bash-completion-1.6.2-r1.apk
5.12KB
2025-12-05 00:38:55
virtctl-fish-completion-1.6.2-r1.apk
4.33KB
2025-12-05 00:38:55
virtctl-zsh-completion-1.6.2-r1.apk
4.04KB
2025-12-05 00:38:55
virter-0.29.0-r5.apk
5.91MB
2025-12-05 00:38:55
virter-bash-completion-0.29.0-r5.apk
6.11KB
2025-12-05 00:38:55
virter-doc-0.29.0-r5.apk
14.88KB
2025-12-05 00:38:55
virter-fish-completion-0.29.0-r5.apk
4.33KB
2025-12-05 00:38:55
virter-zsh-completion-0.29.0-r5.apk
4.05KB
2025-12-05 00:38:55
virtualgl-3.1.4-r0.apk
1.84MB
2025-10-09 02:52:05
virtualgl-dev-3.1.4-r0.apk
6.12KB
2025-10-09 02:52:05
virtualgl-doc-3.1.4-r0.apk
314.67KB
2025-10-09 02:52:05
visidata-3.3-r0.apk
424.65KB
2025-09-15 16:57:05
visidata-doc-3.3-r0.apk
18.39KB
2025-09-15 16:57:05
visidata-pyc-3.3-r0.apk
845.80KB
2025-09-15 16:57:05
visidata-zsh-completion-3.3-r0.apk
9.43KB
2025-09-15 16:57:05
vit-2.3.2-r1.apk
80.48KB
2024-10-25 23:37:00
vit-pyc-2.3.2-r1.apk
151.48KB
2024-10-25 23:37:00
vkbasalt-0.3.2.10-r0.apk
372.23KB
2024-10-25 23:37:00
vkbasalt-doc-0.3.2.10-r0.apk
3.08KB
2024-10-25 23:37:00
vmlinux.h-6.18-r0.apk
241.49KB
2025-12-17 00:35:07
vmtouch-1.3.1-r0.apk
11.56KB
2024-10-25 23:37:00
vmtouch-doc-1.3.1-r0.apk
8.03KB
2024-10-25 23:37:00
voikko-fi-2.5-r0.apk
1.56MB
2024-10-25 23:37:00
volatility3-2.26.2-r0.apk
1.02MB
2025-11-20 14:56:33
volatility3-pyc-2.26.2-r0.apk
1.25MB
2025-11-20 14:56:34
volumeicon-0.5.1-r1.apk
44.35KB
2024-10-25 23:37:00
volumeicon-lang-0.5.1-r1.apk
3.76KB
2024-10-25 23:37:00
vym-2.9.26-r0.apk
2.81MB
2024-10-25 23:37:00
vym-doc-2.9.26-r0.apk
3.39MB
2024-10-25 23:37:01
w_scan2-1.0.17-r0.apk
153.49KB
2025-06-11 19:42:21
w_scan2-doc-1.0.17-r0.apk
4.15KB
2025-06-11 19:42:21
wabt-1.0.37-r0.apk
4.47MB
2025-04-07 00:38:06
wabt-doc-1.0.37-r0.apk
13.42KB
2025-04-07 00:38:06
wakeonlan-0.42-r0.apk
4.51KB
2024-10-25 23:37:01
wakeonlan-doc-0.42-r0.apk
7.52KB
2024-10-25 23:37:01
walk-1.13.0-r8.apk
3.16MB
2025-12-05 00:38:56
walk-doc-1.13.0-r8.apk
2.23KB
2025-12-05 00:38:56
walk-sor-0_git20190920-r1.apk
5.36KB
2024-10-25 23:37:01
walk-sor-doc-0_git20190920-r1.apk
7.77KB
2024-10-25 23:37:01
warp-s3-1.3.1-r1.apk
8.29MB
2025-12-05 00:38:56
warpinator-2.0.0-r0.apk
220.49KB
2025-11-25 21:21:02
warpinator-lang-2.0.0-r0.apk
231.67KB
2025-11-25 21:21:02
warpinator-nemo-2.0.0-r0.apk
4.30KB
2025-11-25 21:21:02
wasmtime-39.0.1-r0.apk
9.25MB
2025-12-08 22:56:40
wasmtime-dev-39.0.1-r0.apk
114.34KB
2025-12-08 22:56:40
watchbind-0.2.1-r1.apk
1.26MB
2024-10-25 23:37:02
watchbind-doc-0.2.1-r1.apk
6.57KB
2024-10-25 23:37:02
watchdog-5.16-r2.apk
44.50KB
2024-10-25 23:37:02
watchdog-doc-5.16-r2.apk
14.22KB
2024-10-25 23:37:02
way-displays-1.15.0-r0.apk
113.43KB
2025-09-30 12:47:33
way-displays-doc-1.15.0-r0.apk
4.55KB
2025-09-30 12:47:33
way-secure-0.2.0-r0.apk
203.30KB
2025-07-18 21:54:51
way-secure-doc-0.2.0-r0.apk
3.03KB
2025-07-18 21:54:51
wayfarer-1.4.0-r0.apk
66.39KB
2025-11-05 20:52:51
wayfire-0.9.0-r0.apk
2.63MB
2025-02-27 22:28:50
wayfire-dev-0.9.0-r0.apk
129.35KB
2025-02-27 22:28:50
wayfire-doc-0.9.0-r0.apk
3.64KB
2025-02-27 22:28:50
wayfire-plugins-extra-0.9.0-r0.apk
598.34KB
2025-02-27 22:28:50
waynergy-0.0.17-r1.apk
50.30KB
2025-09-13 01:22:48
wayqt-0.3.0-r1.apk
149.40KB
2025-08-21 11:03:24
wayqt-dev-0.3.0-r1.apk
18.36KB
2025-08-21 11:03:24
wbg-1.3.0-r1.apk
42.55KB
2025-11-01 17:35:13
wch-isp-0.4.1-r2.apk
11.03KB
2024-10-25 23:37:02
wch-isp-doc-0.4.1-r2.apk
2.66KB
2024-10-25 23:37:02
wch-isp-udev-rules-0.4.1-r2.apk
1.65KB
2024-10-25 23:37:02
wcm-0.9.0-r0.apk
381.10KB
2025-02-27 22:28:50
webhookd-1.20.2-r5.apk
3.35MB
2025-12-05 00:38:56
webhookd-doc-1.20.2-r5.apk
2.26KB
2025-12-05 00:38:56
webhookd-openrc-1.20.2-r5.apk
2.25KB
2025-12-05 00:38:56
webtunnel-0.0.2-r6.apk
3.73MB
2025-12-05 00:38:56
welle-cli-2.7-r0.apk
313.05KB
2025-04-07 21:04:26
welle-io-2.7-r0.apk
417.86KB
2025-04-07 21:04:26
welle-io-doc-2.7-r0.apk
3.98KB
2025-04-07 21:04:26
wf-config-0.9.0-r0.apk
111.36KB
2025-02-27 22:28:50
wf-config-dev-0.9.0-r0.apk
16.25KB
2025-02-27 22:28:50
wf-shell-0.9.0-r0.apk
6.10MB
2025-02-27 22:28:50
wf-shell-dev-0.9.0-r0.apk
1.65KB
2025-02-27 22:28:50
wf-shell-doc-0.9.0-r0.apk
3.08KB
2025-02-27 22:28:50
wgcf-2.2.29-r1.apk
4.68MB
2025-12-05 00:38:57
wgcf-bash-completion-2.2.29-r1.apk
6.10KB
2025-12-05 00:38:57
wgcf-fish-completion-2.2.29-r1.apk
4.32KB
2025-12-05 00:38:57
wgcf-zsh-completion-2.2.29-r1.apk
4.04KB
2025-12-05 00:38:57
whipper-0.10.0-r5.apk
113.17KB
2024-10-25 23:37:03
whipper-pyc-0.10.0-r5.apk
185.05KB
2024-10-25 23:37:03
wiki-tui-0.9.1-r0.apk
2.26MB
2025-11-02 20:03:13
wiki-tui-doc-0.9.1-r0.apk
4.53KB
2025-11-02 20:03:13
wiremapper-0.10.0-r0.apk
21.92KB
2024-10-25 23:37:03
witchery-0.0.3-r2.apk
3.20KB
2024-10-25 23:37:03
wl-clip-persist-0.5.0-r0.apk
0.96MB
2025-10-26 14:29:27
wl-clipboard-x11-5-r3.apk
3.42KB
2024-10-25 23:37:03
wl-clipboard-x11-doc-5-r3.apk
2.94KB
2024-10-25 23:37:03
wl-gammarelay-0.1.3-r4.apk
1.70MB
2025-12-05 00:38:57
wl-screenrec-0.1.7-r1.apk
562.99KB
2025-08-27 19:21:42
wl-screenrec-bash-completion-0.1.7-r1.apk
2.42KB
2025-08-27 19:21:42
wl-screenrec-doc-0.1.7-r1.apk
9.50KB
2025-08-27 19:21:42
wl-screenrec-fish-completion-0.1.7-r1.apk
3.22KB
2025-08-27 19:21:42
wl-screenrec-zsh-completion-0.1.7-r1.apk
3.65KB
2025-08-27 19:21:42
wlavu-0_git20201101-r1.apk
12.71KB
2025-07-17 08:57:52
wlclock-1.0.1-r0.apk
16.49KB
2024-10-25 23:37:03
wlclock-doc-1.0.1-r0.apk
3.29KB
2024-10-25 23:37:03
wlr-sunclock-1.2.1-r0.apk
45.59KB
2025-12-01 16:08:39
wlroots0.17-0.17.4-r3.apk
398.29KB
2025-08-13 01:36:54
wlroots0.17-dbg-0.17.4-r3.apk
1.47MB
2025-08-13 01:36:55
wlroots0.17-dev-0.17.4-r3.apk
77.41KB
2025-08-13 01:36:55
wlroots0.18-0.18.3-r0.apk
412.32KB
2025-11-29 01:54:32
wlroots0.18-dbg-0.18.3-r0.apk
1.54MB
2025-11-29 01:54:32
wlroots0.18-dev-0.18.3-r0.apk
82.64KB
2025-11-29 01:54:32
wlroots0.18-static-0.18.3-r0.apk
8.27MB
2025-11-29 01:54:32
wmctrl-1.07-r1.apk
13.68KB
2024-10-25 23:37:03
wmctrl-doc-1.07-r1.apk
5.10KB
2024-10-25 23:37:03
wmi-client-1.3.16-r5.apk
2.55MB
2024-10-25 23:37:03
wol-0.7.1-r3.apk
27.20KB
2024-10-25 23:37:03
wol-doc-0.7.1-r3.apk
5.52KB
2024-10-25 23:37:03
wol-lang-0.7.1-r3.apk
8.16KB
2024-10-25 23:37:03
wolfssh-1.4.17-r1.apk
138.59KB
2025-09-21 17:09:13
wolfssh-dev-1.4.17-r1.apk
175.16KB
2025-09-21 17:09:13
wpa_actiond-1.4-r7.apk
9.97KB
2024-10-25 23:37:05
wpa_actiond-openrc-1.4-r7.apk
2.22KB
2024-10-25 23:37:05
wput-0.6.2-r4.apk
39.70KB
2024-10-25 23:37:05
wput-doc-0.6.2-r4.apk
8.25KB
2024-10-25 23:37:05
wshowkeys-1.0-r0.apk
14.59KB
2024-10-25 23:37:05
wsmancli-2.8.0-r0.apk
18.75KB
2025-07-14 12:41:44
wsmancli-doc-2.8.0-r0.apk
3.67KB
2025-07-14 12:41:44
wtfutil-0.43.0-r18.apk
19.98MB
2025-12-05 00:38:58
x11docker-7.6.0-r1.apk
113.44KB
2024-10-25 23:37:06
x11docker-doc-7.6.0-r1.apk
9.41KB
2024-10-25 23:37:06
xa-2.4.1-r0.apk
81.74KB
2025-02-25 14:36:51
xa-doc-2.4.1-r0.apk
17.20KB
2025-02-25 14:36:51
xcape-1.2-r1.apk
6.98KB
2025-05-14 21:13:40
xcape-doc-1.2-r1.apk
3.08KB
2025-05-14 21:13:40
xcompmgr-1.1.10-r0.apk
15.33KB
2025-06-28 14:24:46
xcompmgr-doc-1.1.10-r0.apk
2.60KB
2025-06-28 14:24:46
xdg-desktop-portal-hyprland-1.3.11-r0.apk
357.00KB
2025-12-14 12:57:57
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk
2.43KB
2025-12-14 12:57:57
xdg-native-messaging-proxy-0.1.0-r0.apk
24.21KB
2025-11-27 16:19:47
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
1.77KB
2025-11-27 16:19:47
xdg-ninja-0.2.0.2-r0.apk
70.47KB
2024-10-25 23:37:07
xed-3.8.4-r0.apk
1.10MB
2025-10-23 10:55:33
xed-dev-3.8.4-r0.apk
13.65KB
2025-10-23 10:55:33
xed-doc-3.8.4-r0.apk
970.89KB
2025-10-23 10:55:33
xed-lang-3.8.4-r0.apk
2.09MB
2025-10-23 10:55:33
xed-python-3.8.4-r0.apk
24.49KB
2025-10-23 10:55:33
xemu-0.8.74-r0.apk
4.72MB
2025-06-19 03:16:45
xendmail-0.4.4-r0.apk
932.49KB
2025-08-06 11:50:32
xendmail-doc-0.4.4-r0.apk
2.53KB
2025-08-06 11:50:32
xfce4-hamster-plugin-1.17-r0.apk
38.40KB
2024-10-25 23:37:07
xfce4-hamster-plugin-lang-1.17-r0.apk
5.07KB
2024-10-25 23:37:07
xfce4-mixer-4.18.1-r2.apk
91.99KB
2024-10-25 23:37:07
xfce4-mixer-doc-4.18.1-r2.apk
2.50KB
2024-10-25 23:37:07
xfce4-mixer-lang-4.18.1-r2.apk
58.76KB
2024-10-25 23:37:07
xfce4-panel-profiles-1.1.1-r1.apk
57.59KB
2025-11-24 14:53:58
xfce4-panel-profiles-doc-1.1.1-r1.apk
19.95KB
2025-11-24 14:53:58
xfce4-panel-profiles-lang-1.1.1-r1.apk
53.48KB
2025-11-24 14:53:58
xfd-1.1.4-r0.apk
13.73KB
2024-10-25 23:37:07
xfd-doc-1.1.4-r0.apk
4.93KB
2024-10-25 23:37:07
xfsdump-3.2.0-r0.apk
392.42KB
2025-08-30 23:48:27
xfsdump-doc-3.2.0-r0.apk
42.45KB
2025-08-30 23:48:27
xgalaga-2.1.1.0-r1.apk
340.67KB
2024-10-25 23:37:07
xgalaga-doc-2.1.1.0-r1.apk
2.54KB
2024-10-25 23:37:07
xiccd-0.3.0_git20211219-r1.apk
16.72KB
2025-07-17 08:57:52
xiccd-doc-0.3.0_git20211219-r1.apk
3.54KB
2025-07-17 08:57:52
xisxwayland-2-r1.apk
4.05KB
2024-10-25 23:37:07
xisxwayland-doc-2-r1.apk
1.98KB
2024-10-25 23:37:07
xkb-switch-1.8.5-r1.apk
18.36KB
2025-05-14 21:13:40
xkb-switch-doc-1.8.5-r1.apk
2.11KB
2025-05-14 21:13:40
xlhtml-0.5.1-r0.apk
11.83KB
2024-10-25 23:37:07
xlhtml-doc-0.5.1-r0.apk
2.51KB
2024-10-25 23:37:07
xload-1.1.4-r0.apk
6.96KB
2024-10-25 23:37:07
xload-doc-1.1.4-r0.apk
3.21KB
2024-10-25 23:37:07
xmag-1.0.8-r0.apk
17.55KB
2024-10-25 23:37:07
xmag-doc-1.0.8-r0.apk
4.74KB
2024-10-25 23:37:07
xml2rfc-3.28.1-r3.apk
351.88KB
2025-12-18 13:00:52
xml2rfc-pyc-3.28.1-r3.apk
407.50KB
2025-12-18 13:00:52
xmoto-0.6.3-r0.apk
1.91MB
2025-10-03 20:02:38
xmoto-data-0.6.3-r0.apk
36.69MB
2025-10-03 20:02:40
xmoto-doc-0.6.3-r0.apk
5.90KB
2025-10-03 20:02:40
xmoto-lang-0.6.3-r0.apk
532.06KB
2025-10-03 20:02:40
xmp-4.2.0-r0.apk
24.19KB
2024-10-25 23:37:07
xmp-doc-4.2.0-r0.apk
5.31KB
2024-10-25 23:37:07
xmpp-dns-0.2.4-r29.apk
1.94MB
2025-12-05 00:38:58
xmppipe-0.16.0-r1.apk
16.73KB
2024-10-25 23:37:07
xone-src-0.5.1-r0.apk
57.64KB
2025-12-22 18:40:37
xonsh-0.19.9-r0.apk
588.94KB
2025-10-31 14:29:17
xonsh-pyc-0.19.9-r0.apk
1.02MB
2025-10-31 14:29:17
xosview-1.24-r0.apk
127.70KB
2024-10-25 23:37:07
xosview-doc-1.24-r0.apk
12.52KB
2024-10-25 23:37:07
xpar-0.7-r0.apk
28.41KB
2025-09-29 22:34:40
xpar-doc-0.7-r0.apk
4.33KB
2025-09-29 22:34:40
xsane-0.999-r2.apk
1.51MB
2024-10-25 23:37:08
xsane-doc-0.999-r2.apk
4.31KB
2024-10-25 23:37:08
xsane-lang-0.999-r2.apk
440.33KB
2024-10-25 23:37:08
xsecurelock-1.9.0-r1.apk
66.94KB
2024-10-25 23:37:08
xsecurelock-doc-1.9.0-r1.apk
17.75KB
2024-10-25 23:37:08
xsoldier-1.8-r2.apk
72.76KB
2024-10-25 23:37:08
xsoldier-doc-1.8-r2.apk
2.62KB
2024-10-25 23:37:08
xtl-0.8.1-r0.apk
89.74KB
2025-10-27 15:42:33
xva-img-1.5-r0.apk
17.13KB
2024-10-25 23:37:08
xvidtune-1.0.4-r0.apk
17.02KB
2024-10-25 23:37:08
xvidtune-doc-1.0.4-r0.apk
4.17KB
2024-10-25 23:37:08
xvile-9.8z_p1-r2.apk
893.30KB
2025-10-06 12:09:58
xwayland-satellite-0.7-r0.apk
960.33KB
2025-08-31 01:13:00
yaegi-0.16.1-r13.apk
7.51MB
2025-12-05 00:38:58
yamkix-0.14.0-r0.apk
17.32KB
2025-12-22 21:55:32
yamkix-pyc-0.14.0-r0.apk
20.19KB
2025-12-22 21:55:32
yaml-language-server-1.19.2-r0.apk
666.05KB
2025-10-09 00:53:49
yaml-language-server-doc-1.19.2-r0.apk
2.28KB
2025-10-09 00:53:49
yamldiff-0.3.0-r3.apk
1.71MB
2025-12-05 00:38:58
yamldiff-doc-0.3.0-r3.apk
2.25KB
2025-12-05 00:38:58
yarn-berry-4.9.1-r0.apk
1.01MB
2025-04-16 01:08:20
yaru-common-25.10.1-r0.apk
3.84MB
2025-07-13 22:37:25
yaru-icon-theme-25.10.1-r0.apk
35.33MB
2025-07-13 22:37:27
yaru-icon-theme-bark-25.10.1-r0.apk
1.13MB
2025-07-13 22:37:27
yaru-icon-theme-blue-25.10.1-r0.apk
1.17MB
2025-07-13 22:37:27
yaru-icon-theme-magenta-25.10.1-r0.apk
1.15MB
2025-07-13 22:37:27
yaru-icon-theme-mate-25.10.1-r0.apk
1.33MB
2025-07-13 22:37:27
yaru-icon-theme-olive-25.10.1-r0.apk
1.15MB
2025-07-13 22:37:27
yaru-icon-theme-prussiangreen-25.10.1-r0.apk
1.16MB
2025-07-13 22:37:27
yaru-icon-theme-purple-25.10.1-r0.apk
1.19MB
2025-07-13 22:37:27
yaru-icon-theme-red-25.10.1-r0.apk
1.20MB
2025-07-13 22:37:27
yaru-icon-theme-sage-25.10.1-r0.apk
1.18MB
2025-07-13 22:37:27
yaru-icon-theme-viridian-25.10.1-r0.apk
1.16MB
2025-07-13 22:37:27
yaru-schemas-25.10.1-r0.apk
1.85KB
2025-07-13 22:37:27
yaru-shell-25.10.1-r0.apk
226.77KB
2025-07-13 22:37:27
yaru-sounds-25.10.1-r0.apk
1.20MB
2025-07-13 22:37:27
yaru-theme-25.10.1-r0.apk
840.39KB
2025-07-13 22:37:27
yaru-theme-bark-25.10.1-r0.apk
762.79KB
2025-07-13 22:37:27
yaru-theme-blue-25.10.1-r0.apk
766.05KB
2025-07-13 22:37:27
yaru-theme-hdpi-25.10.1-r0.apk
73.26KB
2025-07-13 22:37:27
yaru-theme-magenta-25.10.1-r0.apk
761.86KB
2025-07-13 22:37:27
yaru-theme-mate-25.10.1-r0.apk
771.95KB
2025-07-13 22:37:27
yaru-theme-olive-25.10.1-r0.apk
759.22KB
2025-07-13 22:37:27
yaru-theme-prussiangreen-25.10.1-r0.apk
759.71KB
2025-07-13 22:37:28
yaru-theme-purple-25.10.1-r0.apk
756.92KB
2025-07-13 22:37:28
yaru-theme-red-25.10.1-r0.apk
759.91KB
2025-07-13 22:37:28
yaru-theme-sage-25.10.1-r0.apk
763.20KB
2025-07-13 22:37:28
yaru-theme-viridian-25.10.1-r0.apk
760.25KB
2025-07-13 22:37:28
yass-2.5.0-r0.apk
14.09MB
2024-10-25 23:37:12
ydcv-0.7-r8.apk
20.02KB
2024-10-25 23:37:12
ydcv-pyc-0.7-r8.apk
10.58KB
2024-10-25 23:37:12
ydcv-zsh-completion-0.7-r8.apk
2.15KB
2024-10-25 23:37:12
yices2-2.6.5-r0.apk
2.80MB
2025-03-03 02:04:54
yices2-dev-2.6.5-r0.apk
41.39KB
2025-03-03 02:04:54
yices2-libs-2.6.5-r0.apk
1.03MB
2025-03-03 02:04:54
yoshimi-2.3.3.3-r0.apk
6.14MB
2025-03-19 15:14:13
yoshimi-doc-2.3.3.3-r0.apk
4.52MB
2025-03-19 15:14:14
youtube-viewer-3.11.6-r0.apk
83.84KB
2025-06-09 08:05:36
youtube-viewer-doc-3.11.6-r0.apk
40.62KB
2025-06-09 08:05:36
youtube-viewer-gtk-3.11.6-r0.apk
171.60KB
2025-06-09 08:05:36
ytmdl-2024.08.15.1-r1.apk
50.17KB
2025-05-14 21:13:40
ytmdl-bash-completion-2024.08.15.1-r1.apk
2.27KB
2025-05-14 21:13:40
ytmdl-pyc-2024.08.15.1-r1.apk
78.10KB
2025-05-14 21:13:40
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2.12KB
2025-05-14 21:13:40
ytt-0.52.1-r2.apk
4.70MB
2025-12-05 00:38:58
yubikey-agent-0.1.6-r17.apk
1.94MB
2025-12-05 00:38:59
z-1.12-r0.apk
4.61KB
2024-10-25 23:37:14
z-doc-1.12-r0.apk
3.92KB
2024-10-25 23:37:14
zafiro-icon-theme-1.3-r0.apk
19.23MB
2024-10-25 23:37:15
zarchive-0.1.2-r2.apk
16.44KB
2024-10-25 23:37:26
zarchive-dev-0.1.2-r2.apk
6.76KB
2024-10-25 23:37:26
zarchive-libs-0.1.2-r2.apk
25.65KB
2024-10-25 23:37:26
zfs-src-2.4.0-r0.apk
32.98MB
2025-12-19 10:58:44
zfsbootmenu-2.3.0-r1.apk
128.25KB
2024-10-25 23:37:28
zfsbootmenu-doc-2.3.0-r1.apk
15.80KB
2024-10-25 23:37:28
zile-2.6.2-r1.apk
125.53KB
2024-10-25 23:37:28
zile-doc-2.6.2-r1.apk
15.81KB
2024-10-25 23:37:28
zita-njbridge-0.4.8-r1.apk
29.83KB
2024-10-25 23:37:28
zita-njbridge-doc-0.4.8-r1.apk
5.24KB
2024-10-25 23:37:28
zita-resampler-1.11.2-r0.apk
20.14KB
2025-04-15 05:21:38
zita-resampler-dev-1.11.2-r0.apk
3.26KB
2025-04-15 05:21:38
zita-resampler-doc-1.11.2-r0.apk
4.04KB
2025-04-15 05:21:38
zot-2.1.8-r2.apk
76.67MB
2025-12-05 00:39:02
zot-cli-2.1.8-r2.apk
10.45MB
2025-12-05 00:39:03
zot-cli-bash-completion-2.1.8-r2.apk
6.10KB
2025-12-05 00:39:03
zot-cli-fish-completion-2.1.8-r2.apk
4.31KB
2025-12-05 00:39:03
zot-cli-zsh-completion-2.1.8-r2.apk
4.04KB
2025-12-05 00:39:03
zot-doc-2.1.8-r2.apk
9.40KB
2025-12-05 00:39:03
zot-exporter-2.1.8-r2.apk
4.03MB
2025-12-05 00:39:03
zot-openrc-2.1.8-r2.apk
2.00KB
2025-12-05 00:39:03
zpaq-7.15-r0.apk
197.30KB
2025-10-09 06:51:01
zpaq-doc-7.15-r0.apk
16.27KB
2025-10-09 06:51:01
zsh-manydots-magic-0_git20230607-r1.apk
2.87KB
2024-10-25 23:37:28
zutty-0.16-r0.apk
166.37KB
2025-01-12 23:27:35
zutty-doc-0.16-r0.apk
66.57KB
2025-01-12 23:27:35
zvbi-0.2.44-r0.apk
191.20KB
2025-03-19 15:14:19
zvbi-doc-0.2.44-r0.apk
21.05KB
2025-03-19 15:14:19
10" >