Home
/
alpine
/
edge
/
testing
/
armv7
File Name
Size
Date
..
—
—
3proxy-0.9.4-r2.apk
294.88KB
2025-04-04 08:41:08
3proxy-doc-0.9.4-r2.apk
24.69KB
2025-04-04 08:41:08
3proxy-openrc-0.9.4-r2.apk
1.63KB
2025-04-04 08:41:08
66-0.8.2.1-r0.apk
306.86KB
2025-06-03 22:11:19
66-dbg-0.8.2.1-r0.apk
762.25KB
2025-06-03 22:11:19
66-dev-0.8.2.1-r0.apk
1.56MB
2025-06-03 22:11:19
66-doc-0.8.2.1-r0.apk
190.37KB
2025-06-03 22:11:19
66-init-0.8.2.1-r0.apk
1.54KB
2025-06-03 22:11:19
66-tools-0.1.2.0-r0.apk
57.10KB
2025-06-01 18:47:00
66-tools-dbg-0.1.2.0-r0.apk
111.39KB
2025-06-01 18:47:00
66-tools-dev-0.1.2.0-r0.apk
2.00KB
2025-06-01 18:47:00
66-tools-doc-0.1.2.0-r0.apk
39.37KB
2025-06-01 18:47:00
66-tools-nsrules-0.1.2.0-r0.apk
2.86KB
2025-06-01 18:47:00
APKINDEX.tar.gz
947.14KB
2025-09-27 17:33:41
a2jmidid-9-r3.apk
24.92KB
2024-10-25 22:05:52
a2jmidid-doc-9-r3.apk
4.18KB
2024-10-25 22:05:52
abc-0_git20240102-r0.apk
4.35MB
2024-10-25 22:05:52
abnfgen-0.21-r0.apk
15.47KB
2025-05-28 00:41:00
abnfgen-doc-0.21-r0.apk
5.07KB
2025-05-28 00:41:00
ace-of-penguins-1.4-r3.apk
141.67KB
2024-10-25 22:05:52
ace-of-penguins-doc-1.4-r3.apk
48.58KB
2024-10-25 22:05:52
acmetool-0.2.2-r16.apk
4.51MB
2025-09-09 16:17:22
acmetool-doc-0.2.2-r16.apk
46.67KB
2025-09-09 16:17:22
adbd-0_git20250903-r0.apk
34.50KB
2025-09-22 17:14:56
adguardhome-0.107.66-r0.apk
10.66MB
2025-09-23 23:48:58
adguardhome-openrc-0.107.66-r0.apk
2.19KB
2025-09-23 23:48:58
adjtimex-1.29-r0.apk
19.18KB
2024-10-25 22:05:52
adjtimex-doc-1.29-r0.apk
7.09KB
2024-10-25 22:05:52
admesh-0.98.5-r0.apk
23.72KB
2024-10-25 22:05:52
admesh-dev-0.98.5-r0.apk
4.04KB
2024-10-25 22:05:52
admesh-doc-0.98.5-r0.apk
23.43KB
2024-10-25 22:05:52
advancemame-3.9-r4.apk
11.70MB
2024-10-25 22:05:53
advancemame-data-3.9-r4.apk
5.78MB
2024-10-25 22:05:53
advancemame-doc-3.9-r4.apk
373.57KB
2024-10-25 22:05:53
advancemame-menu-3.9-r4.apk
876.13KB
2024-10-25 22:05:53
advancemame-mess-3.9-r4.apk
3.61MB
2024-10-25 22:05:53
advancescan-1.18-r1.apk
223.02KB
2024-10-25 22:05:53
advancescan-doc-1.18-r1.apk
7.27KB
2024-10-25 22:05:53
afetch-2.2.0-r1.apk
9.18KB
2024-10-25 22:05:53
afetch-doc-2.2.0-r1.apk
13.58KB
2024-10-25 22:05:53
afew-3.0.1-r0.apk
73.14KB
2025-05-19 01:30:35
afew-doc-3.0.1-r0.apk
12.40KB
2025-05-19 01:30:35
agate-3.3.19-r0.apk
655.95KB
2025-09-23 23:48:58
agate-openrc-3.3.19-r0.apk
1.96KB
2025-09-23 23:48:58
agrep-0.8.0-r2.apk
7.84KB
2024-10-25 22:05:53
agrep-doc-0.8.0-r2.apk
4.11KB
2024-10-25 22:05:53
aide-0.19.2-r0.apk
80.14KB
2025-09-09 16:17:22
aide-doc-0.19.2-r0.apk
15.54KB
2025-09-09 16:17:22
ait-1.12-r0.apk
57.91KB
2025-09-09 16:17:22
ait-doc-1.12-r0.apk
20.41KB
2025-09-09 16:17:22
alarmwakeup-0.2.1-r0.apk
6.33KB
2024-10-25 22:05:53
alarmwakeup-dbg-0.2.1-r0.apk
18.53KB
2024-10-25 22:05:53
alarmwakeup-dev-0.2.1-r0.apk
2.53KB
2024-10-25 22:05:53
alarmwakeup-libs-0.2.1-r0.apk
3.93KB
2024-10-25 22:05:53
alarmwakeup-utils-0.2.1-r0.apk
3.74KB
2024-10-25 22:05:53
alertmanager-irc-relay-0.5.1-r1.apk
4.75MB
2025-09-09 16:17:22
alertmanager-irc-relay-openrc-0.5.1-r1.apk
1.99KB
2025-09-09 16:17:22
alpine-lift-0.2.0-r26.apk
3.69MB
2025-09-09 16:17:22
alps-0_git20230807-r15.apk
5.51MB
2025-09-09 16:17:22
alps-openrc-0_git20230807-r15.apk
2.02KB
2025-09-09 16:17:22
alttab-1.7.1-r0.apk
34.75KB
2024-10-25 22:05:53
alttab-doc-1.7.1-r0.apk
10.30KB
2024-10-25 22:05:53
amber-0.4.0_alpha-r0.apk
470.79KB
2025-09-19 17:53:44
amber-mpris-1.2.9-r0.apk
183.37KB
2024-12-22 19:00:02
amber-mpris-dev-1.2.9-r0.apk
6.72KB
2024-12-22 19:00:02
amdgpu-fan-0.1.0-r5.apk
14.01KB
2024-10-25 22:05:54
amdgpu-fan-pyc-0.1.0-r5.apk
9.61KB
2024-10-25 22:05:54
amdgpu_top-0.11.0-r0.apk
6.48MB
2025-09-03 03:48:36
amdgpu_top-doc-0.11.0-r0.apk
3.98KB
2025-09-03 03:48:36
amiitool-2-r2.apk
6.27KB
2024-10-25 22:05:54
ampy-1.1.0-r6.apk
15.41KB
2025-03-19 13:43:49
ampy-doc-1.1.0-r6.apk
4.10KB
2025-03-19 13:43:49
ampy-pyc-1.1.0-r6.apk
19.45KB
2025-03-19 13:43:49
amule-2.3.3-r13.apk
3.84MB
2024-10-25 22:05:54
amule-doc-2.3.3-r13.apk
281.45KB
2024-10-25 22:05:54
amule-lang-2.3.3-r13.apk
1.57MB
2024-10-25 22:05:54
anarch-1.0-r1.apk
90.18KB
2024-10-25 22:05:54
anarch-doc-1.0-r1.apk
17.98KB
2024-10-25 22:05:54
anari-sdk-0.7.2-r0.apk
280.31KB
2024-10-25 22:05:54
anari-sdk-dev-0.7.2-r0.apk
58.57KB
2024-10-25 22:05:54
anari-sdk-static-0.7.2-r0.apk
192.64KB
2024-10-25 22:05:54
android-apkeep-0.17.0-r0.apk
1.72MB
2024-10-25 22:05:54
android-build-tools-15.0-r0.apk
1.59MB
2025-08-08 19:10:29
android-file-transfer-4.5-r0.apk
183.00KB
2025-06-25 02:02:51
android-file-transfer-cli-4.5-r0.apk
100.39KB
2025-06-25 02:02:51
android-file-transfer-dev-4.5-r0.apk
1.57KB
2025-06-25 02:02:51
android-file-transfer-libs-4.5-r0.apk
117.43KB
2025-06-25 02:02:51
android-translation-layer-0_git20250914-r1.apk
2.73MB
2025-09-27 16:40:39
android-translation-layer-dbg-0_git20250914-r1.apk
890.34KB
2025-09-27 16:40:39
angband-4.2.5-r0.apk
22.57MB
2024-10-25 22:05:54
ansible-bender-0.10.1-r2.apk
36.30KB
2024-10-25 22:05:54
ansible-bender-doc-0.10.1-r2.apk
10.09KB
2024-10-25 22:05:54
ansible-bender-pyc-0.10.1-r2.apk
65.01KB
2024-10-25 22:05:54
ansiweather-1.19.0-r1.apk
4.70KB
2024-10-25 22:05:54
ansiweather-doc-1.19.0-r1.apk
3.00KB
2024-10-25 22:05:54
antibody-6.1.1-r31.apk
1.78MB
2025-09-09 16:17:22
antimicrox-3.5.1-r0.apk
1.54MB
2025-06-13 17:11:53
antimicrox-doc-3.5.1-r0.apk
23.85KB
2025-06-13 17:11:53
anubis-1.22.0-r1.apk
8.74MB
2025-09-09 16:17:22
anubis-doc-1.22.0-r1.apk
2.26KB
2025-09-09 16:17:22
anubis-openrc-1.22.0-r1.apk
1.85KB
2025-09-09 16:17:22
aoetools-37-r2.apk
18.88KB
2025-01-14 15:44:18
aoetools-doc-37-r2.apk
13.75KB
2025-01-14 15:44:18
apache-mod-auth-gssapi-1.6.5-r1.apk
50.83KB
2024-10-25 22:05:54
apache-mod-auth-openidc-2.4.16.11-r1.apk
214.97KB
2025-05-22 09:51:53
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
4.45KB
2025-05-22 09:51:53
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
278.45KB
2025-05-22 09:51:53
apache2-mod-authnz-external-3.3.3-r0.apk
6.86KB
2024-10-25 22:05:54
apache2-mod-authnz-external-doc-3.3.3-r0.apk
10.05KB
2024-10-25 22:05:54
apache2-mod-maxminddb-1.2.0-r0.apk
10.40KB
2025-05-19 13:23:16
apache2-mod-perl-2.0.13-r2.apk
619.96KB
2025-07-01 21:10:43
apache2-mod-perl-dbg-2.0.13-r2.apk
77.93KB
2025-07-01 21:10:43
apache2-mod-perl-dev-2.0.13-r2.apk
37.99KB
2025-07-01 21:10:43
apache2-mod-perl-doc-2.0.13-r2.apk
304.01KB
2025-07-01 21:10:43
apache2-mod-realdoc-1-r1.apk
4.55KB
2024-10-25 22:05:54
apk-autoupdate-0_git20210421-r1.apk
12.74KB
2024-11-20 04:43:38
apk-autoupdate-doc-0_git20210421-r1.apk
6.96KB
2024-11-20 04:43:38
apk-snap-3.1.1-r0.apk
6.62KB
2024-10-25 22:05:54
apk-snap-doc-3.1.1-r0.apk
19.92KB
2024-10-25 22:05:54
apmpkg-1.5.1-r3.apk
1.45MB
2024-10-25 22:05:54
apmpkg-bash-completion-1.5.1-r3.apk
2.16KB
2024-10-25 22:05:54
apmpkg-doc-1.5.1-r3.apk
3.03KB
2024-10-25 22:05:54
apmpkg-fish-completion-1.5.1-r3.apk
2.04KB
2024-10-25 22:05:54
apmpkg-zsh-completion-1.5.1-r3.apk
2.42KB
2024-10-25 22:05:54
aports-glmr-0.2-r31.apk
2.59MB
2025-09-09 16:17:22
appcenter-8.0.0-r0.apk
381.97KB
2024-11-12 23:55:19
appcenter-lang-8.0.0-r0.apk
258.31KB
2024-11-12 23:55:19
aprilsh-0.7.12-r8.apk
1.64KB
2025-09-09 16:17:22
aprilsh-client-0.7.12-r8.apk
3.23MB
2025-09-09 16:17:23
aprilsh-doc-0.7.12-r8.apk
14.40KB
2025-09-09 16:17:23
aprilsh-openrc-0.7.12-r8.apk
1.83KB
2025-09-09 16:17:23
aprilsh-server-0.7.12-r8.apk
2.41MB
2025-09-09 16:17:23
apt-dater-1.0.4-r4.apk
52.61KB
2024-10-25 22:05:55
apt-dater-doc-1.0.4-r4.apk
9.88KB
2024-10-25 22:05:55
apt-dater-lang-1.0.4-r4.apk
13.13KB
2024-10-25 22:05:55
apt-mirror-0.5.4-r0.apk
9.45KB
2024-10-25 22:05:55
apt-mirror-doc-0.5.4-r0.apk
4.63KB
2024-10-25 22:05:55
apt-swarm-0.5.1-r0.apk
2.73MB
2025-05-26 13:56:26
apt-swarm-bash-completion-0.5.1-r0.apk
4.19KB
2025-05-26 13:56:26
apt-swarm-fish-completion-0.5.1-r0.apk
5.75KB
2025-05-26 13:56:26
apt-swarm-openrc-0.5.1-r0.apk
1.92KB
2025-05-26 13:56:26
apt-swarm-zsh-completion-0.5.1-r0.apk
5.88KB
2025-05-26 13:56:26
aptdec-1.8.0-r1.apk
83.85KB
2025-02-09 01:44:09
aptdec-dev-1.8.0-r1.apk
3.42KB
2025-02-09 01:44:09
aptdec-libs-1.8.0-r1.apk
13.23KB
2025-02-09 01:44:09
apulse-0.1.14-r0.apk
33.39KB
2025-09-09 16:17:23
apulse-doc-0.1.14-r0.apk
2.95KB
2025-09-09 16:17:23
apx-2.4.5-r3.apk
3.50MB
2025-09-09 16:17:23
apx-doc-2.4.5-r3.apk
2.40KB
2025-09-09 16:17:23
aqemu-0.9.4-r3.apk
1.55MB
2024-10-25 22:05:55
aqemu-doc-0.9.4-r3.apk
7.52KB
2024-10-25 22:05:55
arc-20221218-r0.apk
1.75KB
2024-10-25 22:05:55
arc-cinnamon-20221218-r0.apk
68.04KB
2024-10-25 22:05:55
arc-dark-20221218-r0.apk
1.75KB
2024-10-25 22:05:55
arc-dark-cinnamon-20221218-r0.apk
68.43KB
2024-10-25 22:05:55
arc-dark-gnome-20221218-r0.apk
27.08KB
2024-10-25 22:05:55
arc-dark-gtk2-20221218-r0.apk
38.40KB
2024-10-25 22:05:55
arc-dark-gtk3-20221218-r0.apk
93.27KB
2024-10-25 22:05:55
arc-dark-gtk4-20221218-r0.apk
86.24KB
2024-10-25 22:05:55
arc-dark-metacity-20221218-r0.apk
17.47KB
2024-10-25 22:05:55
arc-dark-xfwm-20221218-r0.apk
7.87KB
2024-10-25 22:05:55
arc-darker-20221218-r0.apk
1.76KB
2024-10-25 22:05:55
arc-darker-gtk2-20221218-r0.apk
38.51KB
2024-10-25 22:05:55
arc-darker-gtk3-20221218-r0.apk
123.75KB
2024-10-25 22:05:55
arc-darker-gtk4-20221218-r0.apk
110.16KB
2024-10-25 22:05:55
arc-darker-metacity-20221218-r0.apk
17.48KB
2024-10-25 22:05:55
arc-darker-xfwm-20221218-r0.apk
7.87KB
2024-10-25 22:05:55
arc-gnome-20221218-r0.apk
28.64KB
2024-10-25 22:05:55
arc-gtk2-20221218-r0.apk
37.53KB
2024-10-25 22:05:55
arc-gtk3-20221218-r0.apk
125.88KB
2024-10-25 22:05:55
arc-gtk4-20221218-r0.apk
113.52KB
2024-10-25 22:05:55
arc-icon-theme-20161122-r0.apk
4.36MB
2024-10-25 22:05:55
arc-lighter-20221218-r0.apk
1.76KB
2024-10-25 22:05:55
arc-lighter-gtk2-20221218-r0.apk
37.54KB
2024-10-25 22:05:55
arc-lighter-gtk3-20221218-r0.apk
124.58KB
2024-10-25 22:05:55
arc-lighter-gtk4-20221218-r0.apk
112.82KB
2024-10-25 22:05:55
arc-lighter-metacity-20221218-r0.apk
17.29KB
2024-10-25 22:05:55
arc-lighter-xfwm-20221218-r0.apk
7.72KB
2024-10-25 22:05:55
arc-metacity-20221218-r0.apk
17.25KB
2024-10-25 22:05:55
arc-theme-20221218-r0.apk
1.45KB
2024-10-25 22:05:55
arc-xfwm-20221218-r0.apk
7.70KB
2024-10-25 22:05:55
arduino-cli-1.3.1-r0.apk
8.54MB
2025-09-09 16:17:23
armagetronad-0.2.9.1.1-r0.apk
1.50MB
2024-10-25 22:05:55
armagetronad-doc-0.2.9.1.1-r0.apk
91.94KB
2024-10-25 22:05:55
art_standalone-0_git20250903-r0.apk
17.60MB
2025-09-22 17:14:57
art_standalone-dbg-0_git20250903-r0.apk
131.72MB
2025-09-22 17:14:58
art_standalone-dev-0_git20250903-r0.apk
8.63MB
2025-09-22 17:14:58
asdf-0.18.0-r3.apk
1.61MB
2025-09-09 16:17:23
asdf-doc-0.18.0-r3.apk
2.24KB
2025-09-09 16:17:23
aspell-es-1.11-r0.apk
533.01KB
2024-10-25 22:05:58
aspnetcore6-runtime-6.0.36-r1.apk
8.56MB
2024-11-25 07:19:42
aspnetcore6-targeting-pack-6.0.36-r1.apk
1.98MB
2024-11-25 07:19:42
asymptote-3.04-r0.apk
1.33MB
2025-05-31 09:51:12
asymptote-doc-3.04-r0.apk
3.14MB
2025-05-31 09:51:12
atac-0.18.1-r0.apk
4.31MB
2024-11-25 23:43:45
atlantik-3.5.10_git20240323-r0.apk
353.79KB
2024-10-25 22:05:58
atlantik-doc-3.5.10_git20240323-r0.apk
79.29KB
2024-10-25 22:05:58
atlantik-lang-3.5.10_git20240323-r0.apk
68.61KB
2024-10-25 22:05:58
atomicparsley-20240608-r0.apk
106.90KB
2024-10-25 22:05:58
atool-0.39.0-r4.apk
17.72KB
2024-10-25 22:05:58
atool-bash-completion-0.39.0-r4.apk
2.04KB
2024-10-25 22:05:58
atool-doc-0.39.0-r4.apk
9.60KB
2024-10-25 22:05:58
aufs-util-20161219-r3.apk
158.98KB
2024-10-25 22:05:58
aufs-util-dev-20161219-r3.apk
1.46KB
2024-10-25 22:05:58
aufs-util-doc-20161219-r3.apk
33.90KB
2024-10-25 22:05:58
authenticator-rs-0.8.6-r0.apk
1.99MB
2025-09-01 21:27:04
authenticator-rs-lang-0.8.6-r0.apk
4.06KB
2025-09-01 21:27:04
autoconf-policy-0.1-r0.apk
5.49KB
2024-10-25 22:05:58
autoremove-torrents-1.5.5-r0.apk
35.44KB
2024-10-25 22:05:58
autoremove-torrents-doc-1.5.5-r0.apk
11.58KB
2024-10-25 22:05:58
autoremove-torrents-pyc-1.5.5-r0.apk
53.79KB
2024-10-25 22:05:58
autorestic-1.8.3-r8.apk
3.74MB
2025-09-09 16:17:23
autoscan-1.4.0-r14.apk
5.28MB
2025-09-09 16:17:23
autoscan-openrc-1.4.0-r14.apk
2.05KB
2025-09-09 16:17:23
autotrash-0.4.7-r0.apk
22.81KB
2024-10-25 22:05:58
autotrash-pyc-0.4.7-r0.apk
14.06KB
2024-10-25 22:05:58
avahi2dns-0.1.0-r4.apk
2.49MB
2025-09-09 16:17:23
avahi2dns-openrc-0.1.0-r4.apk
1.80KB
2025-09-09 16:17:23
avara-0.7.1-r1.apk
21.32MB
2024-11-04 10:52:35
avarice-2.14-r4.apk
75.79KB
2024-10-25 22:05:59
avarice-doc-2.14-r4.apk
9.44KB
2024-10-25 22:05:59
avra-1.4.2-r0.apk
34.23KB
2024-10-25 22:05:59
avra-dev-1.4.2-r0.apk
254.71KB
2024-10-25 22:05:59
azote-1.14.0-r0.apk
7.61MB
2024-12-14 22:38:02
azote-pyc-1.14.0-r0.apk
98.01KB
2024-12-14 22:38:02
azpainter-3.0.11-r0.apk
652.59KB
2025-02-22 14:34:49
azpainter-doc-3.0.11-r0.apk
41.90KB
2025-02-22 14:34:49
azure-iot-sdk-c-static-1.11.0-r0.apk
737.45KB
2024-10-25 22:05:59
b2-tools-4.3.2-r0.apk
72.48KB
2025-05-11 14:57:39
b2-tools-pyc-4.3.2-r0.apk
135.88KB
2025-05-11 14:57:39
b2sum-20190729-r2.apk
14.62KB
2024-10-25 22:05:59
b2sum-doc-20190729-r2.apk
2.73KB
2024-10-25 22:05:59
backup-manager-0.7.15-r1.apk
55.31KB
2024-10-25 22:05:59
bacon-3.16.0-r0.apk
1.60MB
2025-06-23 16:34:05
baikal-0.10.1-r1.apk
1.25MB
2025-05-28 00:41:00
baikal-mysql-0.10.1-r1.apk
1.29KB
2025-05-28 00:41:00
baikal-pgsql-0.10.1-r1.apk
1.29KB
2025-05-28 00:41:00
baikal-sqlite-0.10.1-r1.apk
1.44KB
2025-05-28 00:41:00
bake-2.5.1-r0.apk
98.49KB
2024-10-25 22:05:59
bakelite-0.4.2-r0.apk
36.15KB
2024-10-25 22:05:59
bananui-2.0.0-r0.apk
48.13KB
2024-10-25 22:05:59
bananui-clock-0.1.0-r0.apk
6.56KB
2024-10-25 22:05:59
bananui-daemons-0.1.0-r0.apk
37.18KB
2024-10-25 22:05:59
bananui-dbg-2.0.0-r0.apk
172.87KB
2024-10-25 22:05:59
bananui-demos-2.0.0-r0.apk
7.95KB
2024-10-25 22:05:59
bananui-dev-2.0.0-r0.apk
81.06KB
2024-10-25 22:05:59
bananui-shell-0.2.0-r0.apk
86.96KB
2024-10-25 22:05:59
baresip-3.20.0-r1.apk
0.96MB
2025-06-01 18:47:01
baresip-dev-3.20.0-r1.apk
15.88KB
2025-06-01 18:47:01
barman-3.15.0-r0.apk
374.18KB
2025-08-13 06:46:25
barman-bash-completion-3.15.0-r0.apk
1.64KB
2025-08-13 06:46:25
barman-doc-3.15.0-r0.apk
86.05KB
2025-08-13 06:46:25
barman-pyc-3.15.0-r0.apk
589.28KB
2025-08-13 06:46:25
barnyard2-2.1.14_git20160413-r1.apk
110.77KB
2024-10-25 22:05:59
barnyard2-openrc-2.1.14_git20160413-r1.apk
2.76KB
2024-10-25 22:05:59
barrier-2.4.0-r2.apk
860.33KB
2025-02-22 14:17:52
barrier-doc-2.4.0-r2.apk
12.99KB
2025-02-22 14:17:52
bartib-1.0.1-r1.apk
311.64KB
2024-10-25 22:05:59
base64c-0.2.1-r0.apk
4.17KB
2024-10-25 22:05:59
base64c-dev-0.2.1-r0.apk
5.00KB
2024-10-25 22:05:59
bash-pinyin-completion-rs-0.3.0-r0.apk
589.99KB
2025-08-30 12:03:33
bash-pinyin-completion-rs-doc-0.3.0-r0.apk
13.57KB
2025-08-30 12:03:33
batmon-0.0.1-r0.apk
382.71KB
2024-10-25 22:05:59
battery-limit-openrc-1-r0.apk
1.84KB
2025-06-20 07:10:04
bcg729-1.1.1-r0.apk
31.97KB
2024-10-25 22:05:59
bcg729-dev-1.1.1-r0.apk
3.47KB
2024-10-25 22:05:59
bchunk-1.2.2-r3.apk
7.00KB
2024-10-25 22:05:59
bchunk-doc-1.2.2-r3.apk
2.99KB
2024-10-25 22:05:59
bdfr-2.6.2-r1.apk
130.93KB
2024-10-25 22:05:59
beancount-language-server-1.4.1-r0.apk
1.28MB
2025-08-08 19:10:30
beard-0.4-r0.apk
3.14KB
2024-10-25 22:05:59
beard-doc-0.4-r0.apk
2.48KB
2024-10-25 22:05:59
bees-0.10-r2.apk
281.32KB
2024-10-25 22:05:59
bees-openrc-0.10-r2.apk
1.94KB
2024-10-25 22:05:59
belcard-5.3.105-r0.apk
12.15KB
2025-02-25 14:48:31
belcard-dev-5.3.105-r0.apk
11.46KB
2025-02-25 14:48:31
belcard-libs-5.3.105-r0.apk
188.28KB
2025-02-25 14:48:31
belle-sip-5.3.105-r0.apk
575.59KB
2025-02-25 14:52:26
belle-sip-dev-5.3.105-r0.apk
53.88KB
2025-02-25 14:52:26
belr-5.3.105-r0.apk
98.01KB
2025-02-25 14:52:43
belr-dev-5.3.105-r0.apk
14.53KB
2025-02-25 14:52:43
berry-lang-1.1.0-r0.apk
89.20KB
2024-10-25 22:05:59
bestline-0.0_git20211108-r0.apk
18.27KB
2024-10-25 22:05:59
bestline-dev-0.0_git20211108-r0.apk
1.68KB
2024-10-25 22:05:59
bestline-doc-0.0_git20211108-r0.apk
17.61MB
2024-10-25 22:05:59
bettercap-2.41.4-r1.apk
18.65MB
2025-09-09 16:17:23
bettercap-doc-2.41.4-r1.apk
13.59KB
2025-09-09 16:17:23
bgpq4-1.15-r0.apk
30.93KB
2024-10-25 22:05:59
bgpq4-doc-1.15-r0.apk
6.34KB
2024-10-25 22:05:59
bgs-0.8-r1.apk
5.60KB
2024-10-25 22:05:59
bgs-doc-0.8-r1.apk
2.30KB
2024-10-25 22:05:59
biboumi-9.0-r8.apk
252.83KB
2025-05-29 08:44:32
biboumi-doc-9.0-r8.apk
1.48KB
2025-05-29 08:44:32
biboumi-openrc-9.0-r8.apk
1.90KB
2025-05-29 08:44:32
bindfs-1.17.7-r1.apk
20.36KB
2025-06-20 07:10:04
bindfs-doc-1.17.7-r1.apk
9.06KB
2025-06-20 07:10:04
biometryd-0.3.2-r0.apk
277.52KB
2025-09-09 16:17:23
biometryd-dev-0.3.2-r0.apk
12.98KB
2025-09-09 16:17:23
bionic_translation-0_git20250918-r0.apk
46.66KB
2025-09-22 17:14:58
bionic_translation-dbg-0_git20250918-r0.apk
148.29KB
2025-09-22 17:14:58
bionic_translation-dev-0_git20250918-r0.apk
1.76KB
2025-09-22 17:14:58
birdtray-1.9.0-r1.apk
387.82KB
2024-10-25 22:05:59
bitlbee-facebook-1.2.2-r0.apk
50.33KB
2024-10-25 22:05:59
bitlbee-mastodon-1.4.5-r0.apk
40.94KB
2024-10-25 22:05:59
bitritter-0.1.1-r0.apk
1.88MB
2024-10-25 22:05:59
bkt-0.8.0-r0.apk
312.87KB
2024-10-25 22:05:59
bkt-doc-0.8.0-r0.apk
7.25KB
2024-10-25 22:05:59
blackbox-1.20220610-r1.apk
15.71KB
2024-10-25 22:05:59
blip-0.10-r0.apk
15.29KB
2024-10-25 22:05:59
blip-doc-0.10-r0.apk
29.63KB
2024-10-25 22:05:59
bliss-0.77-r1.apk
55.46KB
2024-10-25 22:05:59
bliss-dev-0.77-r1.apk
93.82KB
2024-10-25 22:05:59
bochs-2.8-r1.apk
887.51KB
2025-02-22 14:17:52
bochs-doc-2.8-r1.apk
139.00KB
2025-02-22 14:17:52
boinc-7.24.3-r0.apk
1.52MB
2024-10-25 22:05:59
boinc-dev-7.24.3-r0.apk
578.37KB
2024-10-25 22:05:59
boinc-doc-7.24.3-r0.apk
7.97KB
2024-10-25 22:05:59
boinc-gui-7.24.3-r0.apk
0.98MB
2024-10-25 22:06:00
boinc-lang-7.24.3-r0.apk
876.93KB
2024-10-25 22:06:00
boinc-libs-7.24.3-r0.apk
197.60KB
2024-10-25 22:06:00
boinc-screensaver-7.24.3-r0.apk
119.15KB
2024-10-25 22:06:00
bomctl-0.1.9-r9.apk
8.99MB
2025-09-09 16:17:23
bomctl-bash-completion-0.1.9-r9.apk
5.13KB
2025-09-09 16:17:23
bomctl-fish-completion-0.1.9-r9.apk
4.34KB
2025-09-09 16:17:23
bomctl-zsh-completion-0.1.9-r9.apk
4.06KB
2025-09-09 16:17:23
bonzomatic-20230615-r0.apk
587.11KB
2024-10-25 22:06:00
bootchart2-0.14.9-r0.apk
135.15KB
2025-01-03 12:13:26
bootinfo-0.1.0-r4.apk
19.43KB
2024-10-25 22:06:00
bootinfo-pyc-0.1.0-r4.apk
8.24KB
2024-10-25 22:06:00
bootloose-0.7.1-r14.apk
2.18MB
2025-09-09 16:17:23
bootterm-0.5-r0.apk
16.56KB
2024-10-25 22:06:00
bootterm-dbg-0.5-r0.apk
2.31KB
2024-10-25 22:06:00
bore-0.5.2-r0.apk
491.92KB
2024-12-15 21:18:43
boson-0_git20211219-r0.apk
14.59KB
2024-10-25 22:06:00
botan2-2.19.5-r0.apk
357.55KB
2025-05-29 08:44:32
botan2-dev-2.19.5-r0.apk
310.81KB
2025-05-29 08:44:32
botan2-doc-2.19.5-r0.apk
306.22KB
2025-05-29 08:44:32
botan2-libs-2.19.5-r0.apk
2.29MB
2025-05-29 08:44:33
boxes-2.3.1-r0.apk
70.72KB
2024-10-25 22:06:00
boxes-doc-2.3.1-r0.apk
7.11KB
2024-10-25 22:06:00
brial-1.2.11-r4.apk
0.99MB
2024-10-25 22:06:00
brial-dev-1.2.11-r4.apk
1.70MB
2024-10-25 22:06:00
brltty-6.7-r1.apk
1.90MB
2025-03-29 20:40:11
brltty-dev-6.7-r1.apk
140.08KB
2025-03-29 20:40:11
brltty-doc-6.7-r1.apk
9.39KB
2025-03-29 20:40:11
brltty-lang-6.7-r1.apk
148.69KB
2025-03-29 20:40:11
brltty-static-6.7-r1.apk
21.99KB
2025-03-29 20:40:11
btfs-2.24-r12.apk
27.09KB
2024-10-25 22:06:00
btfs-doc-2.24-r12.apk
2.39KB
2024-10-25 22:06:00
btpd-0.16-r2.apk
62.40KB
2024-10-25 22:06:00
btpd-doc-0.16-r2.apk
8.40KB
2024-10-25 22:06:00
buildbot-4.3.0-r0.apk
735.86KB
2025-08-25 16:20:22
buildbot-badges-4.3.0-r0.apk
9.32KB
2025-08-25 16:20:22
buildbot-console-view-4.3.0-r0.apk
23.42KB
2025-08-25 16:20:22
buildbot-grid-view-4.3.0-r0.apk
11.32KB
2025-08-25 16:20:22
buildbot-pyc-4.3.0-r0.apk
1.50MB
2025-08-25 16:20:22
buildbot-waterfall-view-4.3.0-r0.apk
33.99KB
2025-08-25 16:20:22
buildbot-worker-4.3.0-r0.apk
191.37KB
2025-08-25 16:20:22
buildbot-wsgi-dashboards-4.3.0-r0.apk
425.82KB
2025-08-25 16:20:22
buildbot-www-4.3.0-r0.apk
422.13KB
2025-08-25 16:20:22
buildcache-0.28.9-r0.apk
633.07KB
2024-10-25 22:06:00
bump2version-1.0.1-r6.apk
20.85KB
2024-10-25 22:06:00
bump2version-pyc-1.0.1-r6.apk
29.11KB
2024-10-25 22:06:00
burp-3.1.4-r0.apk
150.75KB
2024-10-25 22:06:00
burp-doc-3.1.4-r0.apk
99.41KB
2024-10-25 22:06:00
burp-server-3.1.4-r0.apk
35.87KB
2024-10-25 22:06:00
butane-0.25.1-r0.apk
3.16MB
2025-09-26 14:30:15
bwrap-oci-0.2-r1.apk
13.69KB
2024-10-25 22:06:00
bwrap-oci-doc-0.2-r1.apk
2.49KB
2024-10-25 22:06:00
bzmenu-0.2.1-r3.apk
0.98MB
2025-08-09 03:22:02
cadence-0.9.2-r0.apk
1.88MB
2024-10-25 22:06:00
caffeine-ng-4.2.0-r1.apk
100.40KB
2024-10-25 22:06:00
caffeine-ng-doc-4.2.0-r1.apk
3.16KB
2024-10-25 22:06:00
caffeine-ng-lang-4.2.0-r1.apk
34.36KB
2024-10-25 22:06:00
caja-gtkhash-plugin-1.5-r0.apk
22.34KB
2024-10-25 22:06:00
capnet-assist-8.0.0-r0.apk
42.51KB
2025-04-14 13:07:59
capnet-assist-lang-8.0.0-r0.apk
37.25KB
2025-04-14 13:07:59
caps2esc-0.3.2-r0.apk
4.28KB
2024-10-25 22:06:00
care-2.3.0-r1.apk
82.07KB
2024-10-25 22:06:00
care-doc-2.3.0-r1.apk
7.88KB
2024-10-25 22:06:00
cargo-crev-0.26.3-r0.apk
5.48MB
2025-03-02 18:14:20
cargo-geiger-0.12.0-r0.apk
4.71MB
2025-05-26 14:09:46
cargo-geiger-doc-0.12.0-r0.apk
7.68KB
2025-05-26 14:09:46
cargo-generate-0.23.4-r0.apk
2.13MB
2025-08-08 19:10:31
cargo-machete-0.9.1-r0.apk
1.14MB
2025-08-17 21:48:30
cargo-machete-doc-0.9.1-r0.apk
4.36KB
2025-08-17 21:48:30
cargo-run-bin-1.7.2-r0.apk
383.46KB
2024-10-25 22:06:00
cargo-run-bin-doc-1.7.2-r0.apk
5.04KB
2024-10-25 22:06:00
cargo-show-asm-0.2.51-r0.apk
769.88KB
2025-07-15 13:28:48
cargo-show-asm-doc-0.2.51-r0.apk
10.00KB
2025-07-15 13:28:48
cargo-shuttle-0.56.6-r0.apk
4.41MB
2025-07-29 10:57:05
cargo-shuttle-bash-completion-0.56.6-r0.apk
5.17KB
2025-07-29 10:57:05
cargo-shuttle-doc-0.56.6-r0.apk
9.00KB
2025-07-29 10:57:05
cargo-shuttle-fish-completion-0.56.6-r0.apk
9.05KB
2025-07-29 10:57:05
cargo-shuttle-zsh-completion-0.56.6-r0.apk
7.94KB
2025-07-29 10:57:05
cargo-udeps-0.1.57-r0.apk
4.20MB
2025-07-15 13:28:48
cargo-udeps-doc-0.1.57-r0.apk
7.50KB
2025-07-15 13:28:48
cargo-update-16.2.1-r0.apk
0.98MB
2025-03-23 15:24:28
cargo-update-doc-16.2.1-r0.apk
8.28KB
2025-03-23 15:24:28
cargo-vendor-filterer-0.5.18-r0.apk
566.59KB
2025-07-24 20:29:34
castero-0.9.5-r4.apk
50.48KB
2025-05-14 21:17:53
castero-pyc-0.9.5-r4.apk
93.97KB
2025-05-14 21:17:53
castor-0.9.0-r2.apk
650.44KB
2024-10-25 22:06:00
catcodec-1.0.5-r2.apk
11.12KB
2024-10-25 22:06:00
catcodec-doc-1.0.5-r2.apk
4.91KB
2024-10-25 22:06:00
catdoc-0.95-r1.apk
105.61KB
2024-10-25 22:06:00
catdoc-doc-0.95-r1.apk
9.25KB
2024-10-25 22:06:00
catfish-4.20.1-r0.apk
127.79KB
2025-07-07 22:21:35
catfish-doc-4.20.1-r0.apk
13.28KB
2025-07-07 22:21:35
catfish-lang-4.20.1-r0.apk
166.67KB
2025-07-07 22:21:35
catfish-pyc-4.20.1-r0.apk
104.11KB
2025-07-07 22:21:35
cava-0.10.6-r0.apk
44.78KB
2025-09-12 11:10:32
cc65-2.19-r0.apk
8.76MB
2024-10-25 22:06:00
ccrtp-2.1.2-r0.apk
85.57KB
2024-10-25 22:06:00
ccrtp-dev-2.1.2-r0.apk
52.71KB
2024-10-25 22:06:00
ccrtp-doc-2.1.2-r0.apk
31.27KB
2024-10-25 22:06:00
ccze-0.2.1-r1.apk
69.43KB
2024-10-25 22:06:00
ccze-dev-0.2.1-r1.apk
3.32KB
2024-10-25 22:06:00
ccze-doc-0.2.1-r1.apk
8.84KB
2024-10-25 22:06:00
cdba-1.0-r2.apk
7.27KB
2024-10-25 22:06:00
cdba-server-1.0-r2.apk
18.56KB
2024-10-25 22:06:00
cddlib-0.94m-r2.apk
157.02KB
2024-10-25 22:06:00
cddlib-dev-0.94m-r2.apk
14.07KB
2024-10-25 22:06:00
cddlib-doc-0.94m-r2.apk
863.71KB
2024-10-25 22:06:01
cddlib-static-0.94m-r2.apk
218.08KB
2024-10-25 22:06:01
cddlib-tools-0.94m-r2.apk
33.53KB
2024-10-25 22:06:01
cdist-7.0.0-r6.apk
510.99KB
2024-10-25 22:06:01
cdist-pyc-7.0.0-r6.apk
127.58KB
2024-10-25 22:06:01
cdogs-sdl-2.1.0-r0.apk
33.27MB
2024-10-25 22:06:01
certbot-dns-njalla-2.0.0-r0.apk
9.30KB
2024-11-28 01:06:02
certbot-dns-njalla-pyc-2.0.0-r0.apk
4.21KB
2024-11-28 01:06:02
certbot-dns-pdns-0.1.1-r1.apk
8.61KB
2025-08-28 04:19:55
certbot-dns-pdns-pyc-0.1.1-r1.apk
3.87KB
2025-08-28 04:19:55
certigo-1.16.0-r26.apk
3.72MB
2025-09-09 16:17:23
certstrap-1.3.0-r27.apk
2.31MB
2025-09-09 16:17:23
cfssl-1.6.5-r8.apk
28.99MB
2025-09-09 16:17:23
cgiirc-0.5.12-r1.apk
131.80KB
2024-10-25 22:06:02
cgo-0.6.1-r1.apk
9.32KB
2024-10-25 22:06:02
cgo-doc-0.6.1-r1.apk
4.11KB
2024-10-25 22:06:02
chamo-4.0-r0.apk
6.24MB
2024-10-25 22:06:02
chamo-byte-4.0-r0.apk
1.42MB
2024-10-25 22:06:02
chamo-dev-4.0-r0.apk
4.11MB
2024-10-25 22:06:02
charls-2.4.2-r0.apk
56.41KB
2024-10-25 22:06:02
charls-dev-2.4.2-r0.apk
26.59KB
2024-10-25 22:06:02
charta-0.1.2-r0.apk
1.08MB
2025-09-19 17:53:44
chasquid-1.16.0-r1.apk
11.13MB
2025-09-19 17:53:44
chasquid-doc-1.16.0-r1.apk
10.87KB
2025-09-19 17:53:44
chasquid-openrc-1.16.0-r1.apk
1.97KB
2025-09-19 17:53:44
chawan-0.2.2-r0.apk
3.40MB
2025-07-24 16:42:46
chawan-doc-0.2.2-r0.apk
50.83KB
2025-07-24 16:42:46
checkpolicy-3.6-r0.apk
335.28KB
2024-10-25 22:06:02
checkpolicy-doc-3.6-r0.apk
4.14KB
2024-10-25 22:06:02
cherrytree-1.4.0-r0.apk
2.54MB
2025-03-26 19:40:20
cherrytree-doc-1.4.0-r0.apk
2.10KB
2025-03-26 19:40:20
cherrytree-lang-1.4.0-r0.apk
858.56KB
2025-03-26 19:40:20
chim-1.1.2-r1.apk
1.37MB
2024-10-25 22:06:02
chim-doc-1.1.2-r1.apk
2.82KB
2024-10-25 22:06:02
chimerautils-14.2.1-r0.apk
1.07MB
2025-05-26 02:19:55
chimerautils-dbg-14.2.1-r0.apk
3.10MB
2025-05-26 02:19:55
chocolate-doom-3.1.1-r0.apk
1.46MB
2025-08-19 04:30:36
chocolate-doom-doc-3.1.1-r0.apk
232.60KB
2025-08-19 04:30:36
cilium-cli-0.16.13-r8.apk
53.83MB
2025-09-09 16:17:24
cilium-cli-bash-completion-0.16.13-r8.apk
5.06KB
2025-09-09 16:17:24
cilium-cli-fish-completion-0.16.13-r8.apk
4.32KB
2025-09-09 16:17:24
cilium-cli-zsh-completion-0.16.13-r8.apk
4.05KB
2025-09-09 16:17:24
cimg-3.4.1-r0.apk
825.97KB
2024-10-25 22:06:03
circuslinux-1.0.3-r1.apk
18.58KB
2024-10-25 22:06:03
circuslinux-data-1.0.3-r1.apk
1.13MB
2024-10-25 22:06:03
circuslinux-doc-1.0.3-r1.apk
17.97KB
2024-10-25 22:06:03
ckb-next-0.6.2-r1.apk
1.29MB
2025-09-09 16:17:24
ckb-next-daemon-0.6.2-r1.apk
68.54KB
2025-09-09 16:17:24
ckb-next-daemon-openrc-0.6.2-r1.apk
1.84KB
2025-09-09 16:17:24
ckb-next-dev-0.6.2-r1.apk
4.93KB
2025-09-09 16:17:24
clatd-1.6-r0.apk
12.61KB
2024-10-25 22:06:03
clementine-1.4.1_git20250503-r0.apk
5.74MB
2025-06-12 15:11:55
clevis-21-r0.apk
49.37KB
2025-01-25 09:03:41
clevis-bash-completion-21-r0.apk
2.04KB
2025-01-25 09:03:41
clevis-dbg-21-r0.apk
62.48KB
2025-01-25 09:03:41
clevis-doc-21-r0.apk
23.17KB
2025-01-25 09:03:41
clevis-extra-pins-0_git20230629-r0.apk
4.64KB
2024-10-25 22:06:03
click-0.5.2-r4.apk
149.46KB
2025-02-22 14:17:53
click-dev-0.5.2-r4.apk
9.12KB
2025-02-22 14:17:53
click-doc-0.5.2-r4.apk
3.31KB
2025-02-22 14:17:53
click-pyc-0.5.2-r4.apk
174.77KB
2025-02-22 14:17:53
clinfo-3.0.23.01.25-r0.apk
41.75KB
2024-10-25 22:06:03
clinfo-doc-3.0.23.01.25-r0.apk
6.47KB
2024-10-25 22:06:03
cliphist-0.6.1-r8.apk
939.22KB
2025-09-09 16:17:24
cliphist-fzf-0.6.1-r8.apk
1.79KB
2025-09-09 16:17:24
clipit-1.4.5-r3.apk
63.28KB
2024-10-25 22:06:03
clipit-doc-1.4.5-r3.apk
2.38KB
2024-10-25 22:06:03
cliquer-1.23-r0.apk
6.77KB
2025-08-12 07:15:21
cliquer-dev-1.23-r0.apk
7.50KB
2025-08-12 07:15:21
cliquer-libs-1.23-r0.apk
21.19KB
2025-08-12 07:15:21
cliquer-static-1.23-r0.apk
23.46KB
2025-08-12 07:15:21
cliquer-tests-1.23-r0.apk
24.00KB
2025-08-12 07:15:21
cln-1.3.7-r1.apk
417.84KB
2025-05-25 10:49:32
cln-dev-1.3.7-r1.apk
1.14MB
2025-05-25 10:49:32
cln-doc-1.3.7-r1.apk
77.35KB
2025-05-25 10:49:32
cloudflared-2024.12.1-r9.apk
9.00MB
2025-09-09 16:17:24
cloudflared-doc-2024.12.1-r9.apk
1.91KB
2025-09-09 16:17:24
cloudflared-openrc-2024.12.1-r9.apk
1.79KB
2025-09-09 16:17:24
cluster-glue-1.0.12-r5.apk
242.24KB
2024-10-25 22:06:04
cluster-glue-dev-1.0.12-r5.apk
0.99MB
2024-10-25 22:06:04
cluster-glue-doc-1.0.12-r5.apk
32.93KB
2024-10-25 22:06:04
cluster-glue-libs-1.0.12-r5.apk
102.99KB
2024-10-25 22:06:04
cmusfm-0.5.0-r1.apk
13.43KB
2025-08-27 07:13:59
cobang-1.7.3-r0.apk
38.66KB
2025-09-09 16:17:24
cobang-lang-1.7.3-r0.apk
10.29KB
2025-09-09 16:17:24
coccinelle-1.1.1-r2.apk
6.75MB
2024-10-25 22:06:04
coccinelle-bash-completion-1.1.1-r2.apk
2.85KB
2024-10-25 22:06:04
coccinelle-doc-1.1.1-r2.apk
15.97KB
2024-10-25 22:06:04
cocogitto-6.3.0-r0.apk
1.67MB
2025-03-21 21:28:27
cocogitto-bash-completion-6.3.0-r0.apk
3.04KB
2025-03-21 21:28:27
cocogitto-doc-6.3.0-r0.apk
37.80KB
2025-03-21 21:28:27
cocogitto-fish-completion-6.3.0-r0.apk
3.32KB
2025-03-21 21:28:27
cocogitto-zsh-completion-6.3.0-r0.apk
3.04KB
2025-03-21 21:28:27
code-minimap-0.6.7-r0.apk
316.18KB
2024-12-12 21:34:35
code-minimap-doc-0.6.7-r0.apk
7.99KB
2024-12-12 21:34:35
codec2-1.2.0-r0.apk
656.41KB
2025-05-26 01:14:03
codec2-dev-1.2.0-r0.apk
15.42KB
2025-05-26 01:14:03
cogapp-3.6.0-r0.apk
29.83KB
2025-09-22 12:35:28
cogapp-pyc-3.6.0-r0.apk
53.43KB
2025-09-22 12:35:28
colormake-0.9.20170221-r0.apk
4.03KB
2024-10-25 22:06:04
colormake-doc-0.9.20170221-r0.apk
2.67KB
2024-10-25 22:06:04
colorpicker-0_git20201128-r1.apk
4.02KB
2024-10-25 22:06:04
comics-downloader-0.33.8-r13.apk
3.77MB
2025-09-09 16:17:24
comics-downloader-gui-0.33.8-r13.apk
5.47MB
2025-09-09 16:17:24
commit-lsp-0.1.0-r0.apk
1.87MB
2025-05-11 14:57:39
commoncpp-7.0.1-r1.apk
231.43KB
2024-10-25 22:06:04
commoncpp-dev-7.0.1-r1.apk
173.03KB
2024-10-25 22:06:04
commoncpp-doc-7.0.1-r1.apk
14.83KB
2024-10-25 22:06:04
commoncpp-tools-7.0.1-r1.apk
35.00KB
2024-10-25 22:06:04
compiz-0.9.14.2-r11.apk
5.34MB
2025-06-12 15:11:55
compiz-dev-0.9.14.2-r11.apk
117.21KB
2025-06-12 15:11:55
compiz-lang-0.9.14.2-r11.apk
1.21MB
2025-06-12 15:11:55
compiz-pyc-0.9.14.2-r11.apk
111.52KB
2025-06-12 15:11:55
compiz-utils-0.9.14.2-r11.apk
3.34KB
2025-06-12 15:11:55
conntracct-0.2.7-r34.apk
4.99MB
2025-09-09 16:17:24
conntracct-openrc-0.2.7-r34.apk
1.92KB
2025-09-09 16:17:24
console_bridge-1.0.2-r0.apk
9.05KB
2024-10-25 22:06:05
console_bridge-dev-1.0.2-r0.apk
4.73KB
2024-10-25 22:06:05
consul-replicate-0.4.0-r34.apk
2.90MB
2025-09-09 16:17:24
contractor-0.3.5-r0.apk
22.60KB
2024-11-12 23:54:02
convert2json-2.3.2-r0.apk
1.32KB
2025-08-10 12:19:49
convert2json-bson-2.3.2-r0.apk
1.28KB
2025-08-10 12:19:49
convert2json-bson-jaq-2.3.2-r0.apk
224.42KB
2025-08-10 12:19:49
convert2json-bson-json-2.3.2-r0.apk
217.23KB
2025-08-10 12:19:49
convert2json-cbor-2.3.2-r0.apk
1.29KB
2025-08-10 12:19:49
convert2json-cbor-jaq-2.3.2-r0.apk
197.00KB
2025-08-10 12:19:49
convert2json-cbor-json-2.3.2-r0.apk
189.94KB
2025-08-10 12:19:49
convert2json-csv-2.3.2-r0.apk
1.28KB
2025-08-10 12:19:49
convert2json-csv-jaq-2.3.2-r0.apk
214.22KB
2025-08-10 12:19:49
convert2json-csv-json-2.3.2-r0.apk
207.21KB
2025-08-10 12:19:49
convert2json-doc-2.3.2-r0.apk
13.28KB
2025-08-10 12:19:49
convert2json-ini-2.3.2-r0.apk
1.28KB
2025-08-10 12:19:49
convert2json-ini-jaq-2.3.2-r0.apk
179.27KB
2025-08-10 12:19:49
convert2json-ini-json-2.3.2-r0.apk
172.17KB
2025-08-10 12:19:49
convert2json-jaq-2.3.2-r0.apk
1.36KB
2025-08-10 12:19:49
convert2json-json-2.3.2-r0.apk
1.34KB
2025-08-10 12:19:49
convert2json-messagepack-2.3.2-r0.apk
1.29KB
2025-08-10 12:19:49
convert2json-messagepack-jaq-2.3.2-r0.apk
193.43KB
2025-08-10 12:19:49
convert2json-messagepack-json-2.3.2-r0.apk
186.54KB
2025-08-10 12:19:49
convert2json-plist-2.3.2-r0.apk
1.28KB
2025-08-10 12:19:49
convert2json-plist-jaq-2.3.2-r0.apk
232.07KB
2025-08-10 12:19:49
convert2json-plist-json-2.3.2-r0.apk
225.43KB
2025-08-10 12:19:49
convert2json-rsv-2.3.2-r0.apk
1.28KB
2025-08-10 12:19:49
convert2json-rsv-jaq-2.3.2-r0.apk
159.47KB
2025-08-10 12:19:49
convert2json-rsv-json-2.3.2-r0.apk
150.74KB
2025-08-10 12:19:49
convert2json-toml-2.3.2-r0.apk
1.29KB
2025-08-10 12:19:49
convert2json-toml-jaq-2.3.2-r0.apk
230.43KB
2025-08-10 12:19:49
convert2json-toml-json-2.3.2-r0.apk
223.12KB
2025-08-10 12:19:49
convert2json-xml-2.3.2-r0.apk
1.28KB
2025-08-10 12:19:49
convert2json-xml-jaq-2.3.2-r0.apk
191.27KB
2025-08-10 12:19:49
convert2json-xml-json-2.3.2-r0.apk
183.95KB
2025-08-10 12:19:49
convert2json-yaml-2.3.2-r0.apk
1.29KB
2025-08-10 12:19:49
convert2json-yaml-jaq-2.3.2-r0.apk
255.65KB
2025-08-10 12:19:49
convert2json-yaml-json-2.3.2-r0.apk
248.68KB
2025-08-10 12:19:49
copyq-10.0.0-r0.apk
2.45MB
2025-06-25 16:53:36
copyq-bash-completion-10.0.0-r0.apk
2.27KB
2025-06-25 16:53:36
copyq-doc-10.0.0-r0.apk
3.49KB
2025-06-25 16:53:36
corosync-3.1.9-r0.apk
236.27KB
2025-05-29 08:44:33
corosync-dev-3.1.9-r0.apk
425.72KB
2025-05-29 08:44:33
corosync-doc-3.1.9-r0.apk
190.26KB
2025-05-29 08:44:33
corosync-openrc-3.1.9-r0.apk
1.78KB
2025-05-29 08:44:33
cortex-tenant-1.15.7-r0.apk
4.17MB
2025-09-19 17:53:44
cortex-tenant-openrc-1.15.7-r0.apk
2.05KB
2025-09-19 17:53:44
cosmic-app-library-1.0.0_beta1-r0.apk
6.46MB
2025-09-23 11:49:26
cosmic-bg-1.0.0_beta1-r0.apk
1.59MB
2025-09-23 11:49:26
cosmic-comp-1.0.0_beta1-r0.apk
5.84MB
2025-09-23 11:49:26
cosmic-greeter-1.0.0_beta1-r0.apk
10.44MB
2025-09-23 11:49:26
cosmic-icons-1.0.0_beta1-r0.apk
241.16KB
2025-09-21 07:01:42
cosmic-idle-1.0.0_beta1-r0.apk
0.98MB
2025-09-23 11:49:26
cosmic-initial-setup-1.0.0_beta1-r0.apk
10.80MB
2025-09-23 11:49:26
cosmic-launcher-1.0.0_beta1-r0.apk
5.36MB
2025-09-23 11:49:26
cosmic-notifications-1.0.0_beta1-r0.apk
5.62MB
2025-09-23 11:49:26
cosmic-panel-1.0.0_beta1-r0.apk
3.19MB
2025-09-23 11:49:26
cosmic-player-1.0.0_beta1-r0.apk
6.26MB
2025-09-23 11:49:26
cosmic-randr-1.0.0_beta1-r0.apk
476.40KB
2025-09-23 11:49:26
cosmic-settings-daemon-1.0.0_beta1-r0.apk
1.21MB
2025-09-23 11:49:26
cosmic-store-1.0.0_beta1-r0.apk
7.98MB
2025-09-23 11:49:26
cosmic-workspaces-1.0.0_beta1-r0.apk
6.05MB
2025-09-23 11:49:26
cowsay-3.04-r2.apk
18.38KB
2024-10-25 22:06:05
cowsay-doc-3.04-r2.apk
4.00KB
2024-10-25 22:06:05
coxeter-3.0-r1.apk
48.47KB
2024-10-25 22:06:05
coxeter-dev-3.0-r1.apk
56.72KB
2024-10-25 22:06:05
coxeter-libs-3.0-r1.apk
267.29KB
2024-10-25 22:06:05
cpdf-2.8.1-r0.apk
2.04MB
2025-05-11 14:57:39
cpdf-doc-2.8.1-r0.apk
558.01KB
2025-05-11 14:57:39
cpiped-0.1.0-r0.apk
6.49KB
2024-10-25 22:06:05
cpp-httplib-0.26.0-r0.apk
82.75KB
2025-08-30 00:54:57
cpp-httplib-doc-0.26.0-r0.apk
13.39KB
2025-08-30 00:54:57
cpplint-2.0.2-r0.apk
80.29KB
2025-04-14 03:06:49
cpplint-pyc-2.0.2-r0.apk
99.49KB
2025-04-14 03:06:49
cpuburn-1.4a_git20160316-r2.apk
10.49KB
2024-10-25 22:06:05
cpufetch-1.06-r0.apk
41.42KB
2024-10-25 22:06:05
cpufetch-doc-1.06-r0.apk
3.11KB
2024-10-25 22:06:05
crazydiskinfo-1.1.0-r1.apk
29.23KB
2024-10-25 22:06:05
createrepo_c-1.1.4-r0.apk
43.80KB
2024-10-25 22:06:05
createrepo_c-bash-completion-1.1.4-r0.apk
2.88KB
2024-10-25 22:06:05
createrepo_c-dev-1.1.4-r0.apk
31.47KB
2024-10-25 22:06:05
createrepo_c-doc-1.1.4-r0.apk
8.65KB
2024-10-25 22:06:05
createrepo_c-libs-1.1.4-r0.apk
82.54KB
2024-10-25 22:06:05
crispy-doom-7.1-r0.apk
1.65MB
2025-09-24 05:49:21
crispy-doom-doc-7.1-r0.apk
107.38KB
2025-09-24 05:49:21
crossplane-0.5.8-r3.apk
30.20KB
2024-10-25 22:06:05
crossplane-pyc-0.5.8-r3.apk
39.25KB
2024-10-25 22:06:05
crow-translate-4.0.2-r0.apk
10.69MB
2025-09-21 18:29:32
crow-translate-lang-4.0.2-r0.apk
553.90KB
2025-09-21 18:29:32
crowdsec-1.7.0-r1.apk
35.45MB
2025-09-09 16:17:25
crowdsec-email-plugin-1.7.0-r1.apk
6.17MB
2025-09-09 16:17:25
crowdsec-http-plugin-1.7.0-r1.apk
6.13MB
2025-09-09 16:17:25
crowdsec-openrc-1.7.0-r1.apk
1.80KB
2025-09-09 16:17:25
crowdsec-sentinel-plugin-1.7.0-r1.apk
6.13MB
2025-09-09 16:17:25
crowdsec-slack-plugin-1.7.0-r1.apk
6.16MB
2025-09-09 16:17:25
crowdsec-splunk-plugin-1.7.0-r1.apk
6.12MB
2025-09-09 16:17:25
crun-vm-0.3.0-r0.apk
0.98MB
2024-11-12 13:34:15
crun-vm-doc-0.3.0-r0.apk
12.89KB
2024-11-12 13:34:15
cscope-15.9-r1.apk
147.81KB
2024-10-25 22:06:06
cscope-doc-15.9-r1.apk
7.49KB
2024-10-25 22:06:06
csfml-2.5.2-r0.apk
86.15KB
2024-10-25 22:06:06
csfml-dev-2.5.2-r0.apk
77.18KB
2024-10-25 22:06:06
csfml-doc-2.5.2-r0.apk
203.99KB
2024-10-25 22:06:06
csmith-2.3.0-r2.apk
273.20KB
2024-10-25 22:06:06
csmith-doc-2.3.0-r2.apk
3.07KB
2024-10-25 22:06:06
csol-1.6.0-r0.apk
33.37KB
2024-10-25 22:06:06
csol-doc-1.6.0-r0.apk
3.85KB
2024-10-25 22:06:06
ctorrent-dnh-3.3.2-r2.apk
80.88KB
2024-10-25 22:06:06
cups-pdf-3.0.2-r0.apk
20.86KB
2025-07-05 00:11:42
curlftpfs-0.9.2-r3.apk
23.43KB
2024-10-25 22:06:06
curlftpfs-doc-0.9.2-r3.apk
6.12KB
2024-10-25 22:06:06
curtail-1.13.0-r0.apk
30.36KB
2025-07-05 23:39:57
curtail-lang-1.13.0-r0.apk
77.52KB
2025-07-05 23:39:57
cutechess-1.3.1-r0.apk
1.01MB
2024-10-25 22:06:06
cutechess-cli-1.3.1-r0.apk
304.81KB
2024-10-25 22:06:06
cutechess-cli-doc-1.3.1-r0.apk
6.56KB
2024-10-25 22:06:06
cutechess-doc-1.3.1-r0.apk
3.54KB
2024-10-25 22:06:06
cvise-2.11.0-r0.apk
4.56MB
2025-03-10 22:53:26
cvise-pyc-2.11.0-r0.apk
59.70KB
2025-03-10 22:53:26
cvs-fast-export-1.65-r0.apk
42.93KB
2024-10-25 22:06:06
cvs-fast-export-doc-1.65-r0.apk
17.42KB
2024-10-25 22:06:06
cvs-fast-export-tools-1.65-r0.apk
8.64KB
2024-10-25 22:06:06
cyrus-sasl-xoauth2-0.2-r1.apk
5.86KB
2024-10-25 22:06:06
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2.28KB
2024-10-25 22:06:06
cyrus-sasl-xoauth2-static-0.2-r1.apk
6.25KB
2024-10-25 22:06:06
cz-viator-hourglass-black-20210706-r0.apk
219.05KB
2024-10-25 22:06:06
daemontools-0.76-r3.apk
47.80KB
2024-10-25 22:06:06
daemontools-openrc-0.76-r3.apk
1.96KB
2024-10-25 22:06:06
daktilo-0.6.0-r0.apk
1.66MB
2024-10-25 22:06:06
daktilo-bash-completion-0.6.0-r0.apk
2.17KB
2024-10-25 22:06:06
daktilo-doc-0.6.0-r0.apk
8.67KB
2024-10-25 22:06:06
daktilo-fish-completion-0.6.0-r0.apk
1.94KB
2024-10-25 22:06:06
daktilo-zsh-completion-0.6.0-r0.apk
2.27KB
2024-10-25 22:06:06
darkreader-4.9.110-r0.apk
767.41KB
2025-08-21 11:58:50
dart-3.8.1-r0.apk
47.34MB
2025-06-12 18:08:20
dart-sdk-3.8.1-r0.apk
129.48MB
2025-06-12 18:08:24
dart-stage0-3.8.0_alpha237_p0-r0.apk
180.07MB
2025-06-12 18:08:30
dartaotruntime-3.8.1-r0.apk
1.27MB
2025-06-12 18:08:30
darts-clone-0.32h-r0.apk
26.05KB
2025-07-06 10:09:17
darts-clone-dev-0.32h-r0.apk
13.19KB
2025-07-06 10:09:17
dasht-2.4.0-r0.apk
14.22KB
2024-10-25 22:06:14
dasht-doc-2.4.0-r0.apk
11.38KB
2024-10-25 22:06:14
dasht-zsh-completion-2.4.0-r0.apk
2.06KB
2024-10-25 22:06:14
davmail-6.4.0-r0.apk
9.77MB
2025-09-02 16:20:03
dbmate-2.26.0-r5.apk
10.49MB
2025-09-09 16:17:25
dbmate-doc-2.26.0-r5.apk
2.26KB
2025-09-09 16:17:25
dbus-broker-37-r0.apk
76.22KB
2025-06-20 07:10:08
dbus-broker-doc-37-r0.apk
5.88KB
2025-06-20 07:10:08
dcmtk-3.6.9-r0.apk
1.19MB
2025-01-25 09:03:44
dcmtk-dev-3.6.9-r0.apk
1.62MB
2025-01-25 09:03:44
dcmtk-doc-3.6.9-r0.apk
257.41KB
2025-01-25 09:03:44
dcmtk-openrc-3.6.9-r0.apk
1.69KB
2025-01-25 09:03:44
dcnnt-0.10.0-r1.apk
27.78KB
2024-10-25 22:06:14
dcnnt-doc-0.10.0-r1.apk
6.59KB
2024-10-25 22:06:14
dcnnt-pyc-0.10.0-r1.apk
61.67KB
2024-10-25 22:06:14
ddcci-driver-linux-src-0.4.5-r2.apk
19.12KB
2025-03-19 13:43:55
ddgr-2.2-r0.apk
20.07KB
2024-10-25 22:06:14
ddgr-bash-completion-2.2-r0.apk
2.20KB
2024-10-25 22:06:14
ddgr-doc-2.2-r0.apk
11.53KB
2024-10-25 22:06:14
ddgr-fish-completion-2.2-r0.apk
2.28KB
2024-10-25 22:06:14
ddgr-zsh-completion-2.2-r0.apk
2.67KB
2024-10-25 22:06:14
ddserver-0_git20200930-r1.apk
10.54KB
2024-10-25 22:06:14
deadbeef-soxr-20180801-r0.apk
5.21KB
2024-10-25 22:06:14
debconf-1.5.82-r0.apk
69.40KB
2024-10-25 22:06:14
debconf-bash-completion-1.5.82-r0.apk
1.85KB
2024-10-25 22:06:14
debconf-doc-1.5.82-r0.apk
26.80KB
2024-10-25 22:06:14
debconf-lang-1.5.82-r0.apk
132.43KB
2024-10-25 22:06:14
debconf-utils-1.5.82-r0.apk
6.66KB
2024-10-25 22:06:14
decoder-0.7.0-r0.apk
1.78MB
2025-04-11 21:19:01
decoder-lang-0.7.0-r0.apk
58.97KB
2025-04-11 21:19:01
dehydrated-0.7.1-r0.apk
26.44KB
2024-10-25 22:06:14
desed-1.2.1-r1.apk
341.82KB
2024-10-25 22:06:14
desed-doc-1.2.1-r1.apk
2.88KB
2024-10-25 22:06:14
desync-0.9.6-r8.apk
7.46MB
2025-09-09 16:17:25
detox-2.0.0-r0.apk
108.52KB
2024-10-25 22:06:14
detox-doc-2.0.0-r0.apk
20.71KB
2024-10-25 22:06:14
deviced-0_git20250427-r0.apk
107.78KB
2025-07-05 23:03:55
deviced-dev-0_git20250427-r0.apk
26.09KB
2025-07-05 23:03:55
deviced-openrc-0_git20250427-r0.apk
1.70KB
2025-07-05 23:03:55
devil-1.8.0-r0.apk
206.31KB
2024-10-25 22:06:14
devil-dev-1.8.0-r0.apk
12.88KB
2024-10-25 22:06:14
dewduct-0.2.3-r0.apk
1.00MB
2024-10-25 22:06:14
dfl-applications-0.3.0-r0.apk
58.67KB
2025-08-21 10:56:20
dfl-applications-dev-0.3.0-r0.apk
3.92KB
2025-08-21 10:56:20
dfl-ipc-0.3.0-r0.apk
43.08KB
2025-08-21 10:56:20
dfl-ipc-dev-0.3.0-r0.apk
4.79KB
2025-08-21 10:56:20
dfl-login1-0.3.0-r0.apk
31.14KB
2025-08-21 10:56:20
dfl-login1-dev-0.3.0-r0.apk
3.70KB
2025-08-21 10:56:20
dfl-sni-0.3.0-r0.apk
54.19KB
2025-08-21 10:56:20
dfl-sni-dev-0.3.0-r0.apk
4.95KB
2025-08-21 10:56:20
dfu-programmer-1.1.0-r0.apk
33.54KB
2024-10-25 22:06:14
dfu-programmer-bash-completion-1.1.0-r0.apk
2.75KB
2024-10-25 22:06:14
dfu-programmer-doc-1.1.0-r0.apk
5.73KB
2024-10-25 22:06:14
dhewm3-1.5.4-r0.apk
4.56MB
2025-02-22 14:17:56
diceware-1.0.1-r0.apk
334.03KB
2025-01-14 00:49:51
diceware-pyc-1.0.1-r0.apk
18.05KB
2025-01-14 00:49:51
disfetch-3.7-r0.apk
8.29KB
2024-10-25 22:06:15
diskonaut-0.11.0-r3.apk
389.27KB
2024-10-25 22:06:15
diskus-0.8.0-r0.apk
309.95KB
2025-05-19 01:20:40
dislocker-0.7.3-r6.apk
11.51KB
2025-07-24 16:42:48
dislocker-doc-0.7.3-r6.apk
6.02KB
2025-07-24 16:42:48
dislocker-libs-0.7.3-r6.apk
40.03KB
2025-07-24 16:42:48
dive-0.13.0-r5.apk
3.86MB
2025-09-09 16:17:25
dlib-19.24.4-r0.apk
705.82KB
2024-10-25 22:06:16
dlib-dev-19.24.4-r0.apk
2.43MB
2024-10-25 22:06:16
dmarc-cat-0.15.0-r8.apk
2.77MB
2025-09-09 16:17:25
dmarc-metrics-exporter-1.2.0-r0.apk
25.28KB
2024-11-30 00:03:58
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
1.85KB
2024-11-30 00:03:58
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
46.46KB
2024-11-30 00:03:58
dmenu-wl-0.1-r0.apk
15.34KB
2025-07-02 13:32:47
dmenu-wl-doc-0.1-r0.apk
4.08KB
2025-07-02 13:32:47
dnscontrol-4.25.0-r0.apk
14.45MB
2025-09-19 17:53:44
dnscontrol-doc-4.25.0-r0.apk
2.28KB
2025-09-19 17:53:44
dnscrypt-wrapper-0.4.2-r3.apk
26.46KB
2024-10-25 22:06:16
dnsenum-1.3.2-r0.apk
21.23KB
2024-10-25 22:06:16
dnsenum-doc-1.3.2-r0.apk
5.24KB
2024-10-25 22:06:16
dnsperf-2.14.0-r0.apk
72.28KB
2024-10-25 22:06:16
dnsperf-doc-2.14.0-r0.apk
34.92KB
2024-10-25 22:06:16
dnsq-0.19.9-r0.apk
3.87MB
2025-09-26 09:13:40
dnssec-tools-2.2.3-r13.apk
727.94KB
2025-07-01 21:10:43
dnssec-tools-dev-2.2.3-r13.apk
167.37KB
2025-07-01 21:10:43
dnssec-tools-doc-2.2.3-r13.apk
317.02KB
2025-07-01 21:10:43
doasedit-1.0.8-r0.apk
3.22KB
2025-08-08 19:10:34
docker-auth-1.14.0-r0.apk
9.88MB
2025-09-25 13:24:49
docker-auth-doc-1.14.0-r0.apk
10.58KB
2025-09-25 13:24:49
docker-auth-openrc-1.14.0-r0.apk
2.06KB
2025-09-25 13:24:49
docker-volume-local-persist-1.3.0-r36.apk
2.56MB
2025-09-09 16:17:25
docker-volume-local-persist-openrc-1.3.0-r36.apk
1.78KB
2025-09-09 16:17:25
dockerize-0.9.6-r0.apk
3.39MB
2025-09-09 16:17:26
dooit-3.2.2-r0.apk
44.83KB
2025-05-16 10:14:02
dooit-extras-0.2.0-r0.apk
12.73KB
2024-12-07 22:22:46
dooit-extras-pyc-0.2.0-r0.apk
22.79KB
2024-12-07 22:22:46
dooit-pyc-3.2.2-r0.apk
100.34KB
2025-05-16 10:14:02
dotenv-linter-3.3.0-r1.apk
738.95KB
2024-10-25 22:06:16
dotnet-bash-completion-6.0.136-r1.apk
1.79KB
2024-11-25 07:19:42
dotnet-doc-6.0.136-r1.apk
110.50KB
2024-11-25 07:19:42
dotnet-host-6.0.36-r1.apk
29.66KB
2024-11-25 07:19:42
dotnet-zsh-completion-6.0.136-r1.apk
1.66KB
2024-11-25 07:19:42
dotnet6-apphost-pack-6.0.36-r1.apk
3.72MB
2024-11-25 07:19:42
dotnet6-artifacts-6.0.136-r1.apk
438.36MB
2024-11-25 07:19:47
dotnet6-build-6.0.136-r1.apk
1.02GB
2024-11-25 07:20:01
dotnet6-hostfxr-6.0.36-r1.apk
129.54KB
2024-11-25 07:20:01
dotnet6-runtime-6.0.36-r1.apk
26.22MB
2024-11-25 07:20:01
dotnet6-sdk-6.0.136-r1.apk
102.00MB
2024-11-25 07:20:02
dotnet6-stage0-6.0.116-r4.apk
1.21KB
2024-10-25 22:06:44
dotnet6-stage0-artifacts-6.0.116-r4.apk
0.96GB
2024-10-25 22:07:00
dotnet6-stage0-bootstrap-6.0.116-r4.apk
351.59MB
2024-10-25 22:07:05
dotnet6-targeting-pack-6.0.36-r1.apk
3.11MB
2024-11-25 07:20:02
dotnet6-templates-6.0.136-r1.apk
5.84MB
2024-11-25 07:20:03
downloader-cli-0.3.4-r2.apk
1.97KB
2025-05-14 21:17:53
draco-1.5.7-r2.apk
718.90KB
2025-02-22 14:17:56
draco-dev-1.5.7-r2.apk
204.71KB
2025-02-22 14:17:56
draco-static-1.5.7-r2.apk
1.55MB
2025-02-22 14:17:56
draco-tools-1.5.7-r2.apk
1.09MB
2025-02-22 14:17:56
draw-0.1.1-r16.apk
0.96MB
2025-09-09 16:17:26
drogon-1.9.4-r2.apk
1.29MB
2025-05-22 09:51:53
drogon-dev-1.9.4-r2.apk
121.35KB
2025-05-22 09:51:53
drogon-doc-1.9.4-r2.apk
2.27KB
2025-05-22 09:51:53
droidcam-2.1.3-r3.apk
16.97KB
2025-09-27 16:40:39
droidcam-gui-2.1.3-r3.apk
25.82KB
2025-09-27 16:40:39
drone-cli-1.8.0-r13.apk
5.57MB
2025-09-09 16:17:26
dropwatch-1.5.5-r1.apk
14.27KB
2025-07-28 17:35:42
dropwatch-doc-1.5.5-r1.apk
3.70KB
2025-07-28 17:35:42
drumgizmo-0.9.20-r1.apk
358.50KB
2024-10-25 22:07:06
drupal7-7.103-r0.apk
3.28MB
2024-12-04 19:28:18
drupal7-doc-7.103-r0.apk
57.46KB
2024-12-04 19:28:18
dsp-2.0-r2.apk
140.12KB
2025-09-27 16:40:39
dsp-doc-2.0-r2.apk
10.13KB
2025-09-27 16:40:39
dstask-0.27-r2.apk
1.50MB
2025-09-09 16:17:26
dstask-bash-completion-0.27-r2.apk
2.11KB
2025-09-09 16:17:26
dstask-fish-completion-0.27-r2.apk
1.67KB
2025-09-09 16:17:26
dstask-import-0.27-r2.apk
3.49MB
2025-09-09 16:17:26
dstask-zsh-completion-0.27-r2.apk
1.66KB
2025-09-09 16:17:26
dublin-traceroute-0.4.2-r4.apk
41.87KB
2024-10-25 22:07:06
dublin-traceroute-contrib-0.4.2-r4.apk
2.86KB
2024-10-25 22:07:06
dublin-traceroute-dev-0.4.2-r4.apk
6.89KB
2024-10-25 22:07:06
dublin-traceroute-doc-0.4.2-r4.apk
2.30KB
2024-10-25 22:07:06
duc-1.4.5-r0.apk
83.24KB
2024-10-25 22:07:06
duc-doc-1.4.5-r0.apk
9.06KB
2024-10-25 22:07:06
duf-0.9.1-r0.apk
1.18MB
2025-09-13 17:36:16
duf-doc-0.9.1-r0.apk
4.72KB
2025-09-13 17:36:16
dufs-0.45.0-r0.apk
1.36MB
2025-09-09 16:17:26
dufs-bash-completion-0.45.0-r0.apk
2.34KB
2025-09-09 16:17:26
dufs-doc-0.45.0-r0.apk
10.60KB
2025-09-09 16:17:26
dufs-fish-completion-0.45.0-r0.apk
2.41KB
2025-09-09 16:17:26
dufs-zsh-completion-0.45.0-r0.apk
2.72KB
2025-09-09 16:17:26
dulcepan-1.0.2-r0.apk
18.56KB
2024-10-25 22:07:06
dum-0.1.20-r1.apk
309.16KB
2025-03-29 20:40:15
dune-deps-1.3.0-r2.apk
786.55KB
2024-10-25 22:07:06
dustracing2d-2.1.1-r1.apk
5.06MB
2024-10-25 22:07:06
dvdbackup-0.4.2-r1.apk
15.75KB
2024-10-25 22:07:06
dvdbackup-doc-0.4.2-r1.apk
7.58KB
2024-10-25 22:07:06
dvdbackup-lang-0.4.2-r1.apk
1.43KB
2024-10-25 22:07:06
dvisvgm-3.4.4-r0.apk
1.01MB
2025-05-19 01:44:13
dvisvgm-doc-3.4.4-r0.apk
26.08KB
2025-05-19 01:44:13
dwl-0.7-r0.apk
24.36KB
2024-10-25 22:07:06
dwl-doc-0.7-r0.apk
3.12KB
2024-10-25 22:07:06
e16-1.0.30-r0.apk
740.21KB
2024-11-05 15:22:01
e16-doc-1.0.30-r0.apk
27.25KB
2024-11-05 15:22:01
e16-lang-1.0.30-r0.apk
379.62KB
2024-11-05 15:22:01
eatmemory-0.1.6-r2.apk
4.08KB
2024-10-25 22:07:06
eboard-1.1.3-r1.apk
1.36MB
2024-10-25 22:07:06
eboard-doc-1.1.3-r1.apk
4.63KB
2024-10-25 22:07:06
ecasound-2.9.3-r4.apk
616.43KB
2025-02-25 00:28:22
ecasound-dev-2.9.3-r4.apk
1.12MB
2025-02-25 00:28:22
ecasound-doc-2.9.3-r4.apk
38.45KB
2025-02-25 00:28:22
ecos-2.0.10-r0.apk
35.05KB
2024-10-25 22:07:06
ecos-dev-2.0.10-r0.apk
27.92KB
2024-10-25 22:07:06
edit-1.2.0-r0.apk
225.55KB
2025-06-12 18:08:30
edit-doc-1.2.0-r0.apk
2.25KB
2025-06-12 18:08:30
edward-1.1.0-r0.apk
1.70MB
2024-10-25 22:07:06
edward-doc-1.1.0-r0.apk
5.27KB
2024-10-25 22:07:06
efl-1.28.1-r2.apk
33.42MB
2025-03-23 17:03:53
efl-dev-1.28.1-r2.apk
1.82MB
2025-03-23 17:03:53
efl-gdb-1.28.1-r2.apk
1.69KB
2025-03-23 17:03:53
eiwd-3.9-r0.apk
709.21KB
2025-07-24 16:42:48
eiwd-doc-3.9-r0.apk
20.43KB
2025-07-24 16:42:48
eiwd-openrc-3.9-r0.apk
1.88KB
2025-07-24 16:42:48
elementary-calculator-8.0.1-r0.apk
68.17KB
2025-09-02 05:29:44
elementary-calculator-lang-8.0.1-r0.apk
59.50KB
2025-09-02 05:29:44
elementary-camera-8.0.2-r0.apk
83.69KB
2025-09-02 05:25:31
elementary-camera-lang-8.0.2-r0.apk
35.17KB
2025-09-02 05:25:31
elementary-dock-8.0.2-r0.apk
80.45KB
2025-05-25 01:57:46
elementary-dock-lang-8.0.2-r0.apk
27.56KB
2025-05-25 01:57:46
elementary-feedback-8.0.1-r0.apk
43.22KB
2025-05-13 09:39:10
elementary-feedback-lang-8.0.1-r0.apk
46.49KB
2025-05-13 09:39:10
elementary-icon-theme-8.1.0-r0.apk
5.05MB
2025-05-13 09:37:52
elementary-music-8.0.0-r0.apk
69.82KB
2024-10-29 00:05:58
elementary-music-lang-8.0.0-r0.apk
47.48KB
2024-10-29 00:05:58
elementary-photos-8.0.1-r0.apk
1.03MB
2024-12-03 00:59:30
elementary-photos-lang-8.0.1-r0.apk
1.00MB
2024-12-03 00:59:30
elementary-settings-daemon-8.3.0-r0.apk
73.34KB
2025-05-26 12:05:10
elementary-settings-daemon-lang-8.3.0-r0.apk
74.02KB
2025-05-26 12:05:10
elementary-settings-daemon-openrc-8.3.0-r0.apk
1.80KB
2025-05-26 12:05:10
elementary-sound-theme-1.1.0-r0.apk
83.07KB
2024-11-11 00:07:57
elementary-theme-8.1.0-r0.apk
1.50MB
2025-01-12 22:34:50
elementary-videos-8.0.2-r0.apk
106.45KB
2025-09-02 05:28:21
elementary-videos-lang-8.0.2-r0.apk
83.42KB
2025-09-02 05:28:21
elf_diff-0.7.1-r3.apk
108.28KB
2024-10-25 22:07:07
elf_diff-pyc-0.7.1-r3.apk
107.97KB
2024-10-25 22:07:07
elfio-3.12-r0.apk
1.39KB
2024-10-25 22:07:07
elfio-dev-3.12-r0.apk
54.98KB
2024-10-25 22:07:07
eludris-0.3.3-r1.apk
1.54MB
2024-10-25 22:07:07
eludris-doc-0.3.3-r1.apk
2.29KB
2024-10-25 22:07:07
emacs-ace-window-0.10.0_git20220911-r0.apk
22.76KB
2024-10-25 22:07:07
emacs-avy-0.5.0_git20230420-r0.apk
43.42KB
2024-10-25 22:07:07
emacs-avy-embark-collect-1.1-r0.apk
3.82KB
2025-04-23 08:42:49
emacs-centaur-tabs-3.2_git20230601-r0.apk
55.05KB
2024-10-25 22:07:07
emacs-closql-1.2.1_git20240712-r0.apk
14.37KB
2024-10-25 22:07:07
emacs-consult-1.4_git20240405-r0.apk
137.76KB
2024-10-25 22:07:07
emacs-derl-0_git20231004-r1.apk
23.44KB
2025-07-29 07:35:41
emacs-elfeed-3.4.2-r0.apk
90.56KB
2025-04-23 08:11:13
emacs-emacsql-3.1.1_git20240714-r0.apk
22.60KB
2024-10-25 22:07:07
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
6.06KB
2024-10-25 22:07:07
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
5.85KB
2024-10-25 22:07:07
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
17.01KB
2024-10-25 22:07:07
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
4.29KB
2024-10-25 22:07:07
emacs-embark-1.1-r0.apk
110.90KB
2025-04-23 08:42:49
emacs-embark-consult-1.1-r0.apk
10.41KB
2025-04-23 08:42:49
emacs-ement-0.16-r0.apk
290.71KB
2025-04-23 08:11:13
emacs-epkg-3.3.3_git20240713-r0.apk
36.82KB
2024-10-25 22:07:07
emacs-fossil-0_git20230504-r0.apk
14.63KB
2024-10-25 22:07:07
emacs-gnosis-0.3.2-r0.apk
62.14KB
2024-10-25 22:07:07
emacs-hackernews-0.7.0-r0.apk
15.50KB
2024-10-25 22:07:07
emacs-helm-3.9.7_git20240329-r0.apk
815.15KB
2024-10-25 22:07:07
emacs-hnreader-0_git20221116-r0.apk
9.75KB
2024-10-25 22:07:07
emacs-hydra-0.15.0_git20220910-r0.apk
45.94KB
2024-10-25 22:07:07
emacs-llama-1.0.1-r0.apk
12.60KB
2025-09-09 16:17:26
emacs-lsp-booster-0.2.1-r0.apk
366.58KB
2025-04-12 15:22:42
emacs-lsp-booster-doc-0.2.1-r0.apk
2.27KB
2025-04-12 15:22:42
emacs-persist-0.6_git20240114-r0.apk
6.61KB
2024-10-25 22:07:07
emacs-powerline-2.4_git20221110-r0.apk
28.90KB
2024-10-25 22:07:07
emacs-sqlite3-api-0.18-r0.apk
17.12KB
2024-10-25 22:07:07
emacs-svg-lib-0_git20240219-r0.apk
18.97KB
2024-10-25 22:07:07
emacs-taxy-0.10.2-r0.apk
11.46KB
2025-04-23 08:42:49
emacs-taxy-magit-section-0.14.3-r0.apk
17.68KB
2025-04-23 08:42:49
emacs-total-recall-0_git20250426-r0.apk
17.60KB
2025-05-11 14:57:41
emacs-total-recall-examples-0_git20250426-r0.apk
13.93KB
2025-05-11 14:57:41
empede-0.2.3-r0.apk
1.53MB
2024-10-25 22:07:07
empede-doc-0.2.3-r0.apk
2.27KB
2024-10-25 22:07:07
empede-openrc-0.2.3-r0.apk
1.90KB
2024-10-25 22:07:07
emulationstation-2.11.2-r1.apk
1.14MB
2024-10-25 22:07:07
emulationstation-theme-gbz35-2.11.2-r1.apk
3.26MB
2024-10-25 22:07:07
endeavour-43.0-r2.apk
169.54KB
2024-12-08 23:36:41
endeavour-dev-43.0-r2.apk
45.59KB
2024-12-08 23:36:41
endeavour-doc-43.0-r2.apk
68.07KB
2024-12-08 23:36:41
endeavour-lang-43.0-r2.apk
203.14KB
2024-12-08 23:36:41
endless-sky-0.10.2-r0.apk
242.07MB
2024-10-25 22:07:10
endless-sky-doc-0.10.2-r0.apk
36.51KB
2024-10-25 22:07:10
endlessh-1.1-r1.apk
8.76KB
2025-05-25 10:49:32
endlessh-doc-1.1-r1.apk
2.66KB
2025-05-25 10:49:32
enjoy-0.3-r1.apk
10.03KB
2024-10-25 22:07:10
enlighten-0.9.2-r1.apk
7.02KB
2024-10-25 22:07:10
enlighten-doc-0.9.2-r1.apk
3.51KB
2024-10-25 22:07:10
envconsul-0.13.3-r6.apk
4.81MB
2025-09-09 16:17:26
envsubst-0.1-r1.apk
4.00KB
2024-10-25 22:07:10
epic6-0_git20250821-r0.apk
337.76KB
2025-09-09 16:17:26
epic6-doc-0_git20250821-r0.apk
17.20KB
2025-09-09 16:17:26
epic6-script-0_git20250821-r0.apk
148.98KB
2025-09-09 16:17:26
epoch-1.3.0-r2.apk
58.56KB
2024-10-25 22:07:10
epr-2.4.15-r1.apk
15.70KB
2024-10-25 22:07:10
epr-pyc-2.4.15-r1.apk
24.42KB
2024-10-25 22:07:10
ergo-ldap-0.0.1-r20.apk
2.23MB
2025-09-09 16:17:26
ergo-ldap-doc-0.0.1-r20.apk
2.29KB
2025-09-09 16:17:26
errands-46.2.8-r0.apk
84.06KB
2025-05-22 17:42:40
errands-lang-46.2.8-r0.apk
71.18KB
2025-05-22 17:42:41
espeakup-0.90-r2.apk
10.13KB
2024-10-25 22:07:10
espeakup-openrc-0.90-r2.apk
1.79KB
2024-10-25 22:07:10
esptool-4.8.1-r0.apk
424.01KB
2024-10-25 22:07:10
esptool-pyc-4.8.1-r0.apk
549.34KB
2024-10-25 22:07:10
ettercap-0.8.3.1-r3.apk
551.54KB
2024-10-25 22:07:10
ettercap-doc-0.8.3.1-r3.apk
45.12KB
2024-10-25 22:07:10
eva-0.3.1-r2.apk
554.75KB
2024-10-25 22:07:10
evolution-on-3.24.4-r1.apk
9.96KB
2025-09-19 17:53:44
exabgp-4.2.24-r1.apk
384.82KB
2025-09-09 16:17:26
exabgp-doc-4.2.24-r1.apk
8.10KB
2025-09-09 16:17:26
exabgp-openrc-4.2.24-r1.apk
2.25KB
2025-09-09 16:17:26
exabgp-pyc-4.2.24-r1.apk
778.26KB
2025-09-09 16:17:26
exercism-3.2.0-r16.apk
4.07MB
2025-09-09 16:17:26
exercism-bash-completion-3.2.0-r16.apk
1.96KB
2025-09-09 16:17:26
exercism-fish-completion-3.2.0-r16.apk
2.36KB
2025-09-09 16:17:26
exercism-zsh-completion-3.2.0-r16.apk
2.11KB
2025-09-09 16:17:26
extrace-0.9-r0.apk
9.71KB
2024-10-25 22:07:10
extrace-doc-0.9-r0.apk
3.51KB
2024-10-25 22:07:10
extremetuxracer-0.8.3-r0.apk
39.51MB
2024-10-25 22:07:11
extremetuxracer-doc-0.8.3-r0.apk
6.68KB
2024-10-25 22:07:11
extundelete-0.2.4-r1.apk
38.87KB
2024-10-25 22:07:11
fabric-3.2.2-r1.apk
54.63KB
2024-10-25 22:07:11
fabric-pyc-3.2.2-r1.apk
60.15KB
2024-10-25 22:07:11
fakeroot-tcp-1.32.1-r1.apk
25.36KB
2024-10-25 22:07:11
fastd-23-r0.apk
64.37KB
2025-01-27 23:33:07
fastd-doc-23-r0.apk
3.28KB
2025-01-27 23:33:07
fastd-openrc-23-r0.apk
1.70KB
2025-01-27 23:33:07
fatback-1.3-r2.apk
24.35KB
2024-10-25 22:07:11
fatback-doc-1.3-r2.apk
16.08KB
2024-10-25 22:07:11
fathom-1.3.1-r16.apk
4.78MB
2025-09-09 16:17:26
fatrace-0.18.0-r0.apk
9.89KB
2025-07-27 22:28:49
fatrace-doc-0.18.0-r0.apk
3.31KB
2025-07-27 22:28:49
fatresize-1.1.0-r1.apk
8.54KB
2024-10-25 22:07:11
fatresize-doc-1.1.0-r1.apk
15.20KB
2024-10-25 22:07:11
faultstat-0.01.11-r0.apk
12.13KB
2024-10-25 22:07:11
faultstat-bash-completion-0.01.11-r0.apk
2.28KB
2024-10-25 22:07:11
faultstat-doc-0.01.11-r0.apk
3.01KB
2024-10-25 22:07:11
faust-2.79.3-r0.apk
7.36MB
2025-06-07 20:02:09
faust-dev-2.79.3-r0.apk
1.37MB
2025-06-07 20:02:09
faust-doc-2.79.3-r0.apk
16.69MB
2025-06-07 20:02:10
faust-static-2.79.3-r0.apk
515.47KB
2025-06-07 20:02:10
faust-tools-2.79.3-r0.apk
120.31KB
2025-06-07 20:02:10
faust-vim-2.79.3-r0.apk
2.60KB
2025-06-07 20:02:10
fava-1.28-r0.apk
1.07MB
2024-10-25 22:07:11
fava-pyc-1.28-r0.apk
164.11KB
2024-10-25 22:07:11
fbcur-1.0.1-r1.apk
4.16KB
2024-10-25 22:07:11
fbcur-doc-1.0.1-r1.apk
2.17KB
2024-10-25 22:07:11
fceux-2.6.6-r4.apk
2.77MB
2025-09-27 16:40:39
fceux-doc-2.6.6-r4.apk
104.67KB
2025-09-27 16:40:39
fdm-materials-5.2.2-r1.apk
59.67KB
2024-10-25 22:07:12
featherpad-1.5.1-r0.apk
642.90KB
2024-10-25 22:07:12
featherpad-lang-1.5.1-r0.apk
462.75KB
2024-10-25 22:07:12
felix-2.16.1-r0.apk
592.58KB
2025-05-16 11:33:13
femto-2.24.1-r0.apk
62.70KB
2025-09-09 16:17:26
femto-doc-2.24.1-r0.apk
47.53KB
2025-09-09 16:17:26
fff-2.2-r0.apk
10.74KB
2024-10-25 22:07:12
fff-doc-2.2-r0.apk
8.99KB
2024-10-25 22:07:12
fflas-ffpack-2.5.0-r3.apk
345.33KB
2024-10-25 22:07:12
ffms2-5.0-r2.apk
64.19KB
2025-09-27 16:40:39
ffms2-dev-5.0-r2.apk
7.53KB
2025-09-27 16:40:39
ffms2-doc-5.0-r2.apk
30.23KB
2025-09-27 16:40:39
ffsend-0.2.76-r4.apk
1.38MB
2024-10-25 22:07:12
ffsend-bash-completion-0.2.76-r4.apk
3.59KB
2024-10-25 22:07:12
ffsend-fish-completion-0.2.76-r4.apk
3.55KB
2024-10-25 22:07:12
ffsend-zsh-completion-0.2.76-r4.apk
4.54KB
2024-10-25 22:07:12
fheroes2-1.1.11-r0.apk
1.52MB
2025-09-19 17:53:44
fheroes2-lang-1.1.11-r0.apk
1.72MB
2025-09-19 17:53:44
fildesh-0.2.0-r0.apk
58.25KB
2024-10-25 22:07:12
fildesh-doc-0.2.0-r0.apk
2.10KB
2024-10-25 22:07:12
fildesh-vim-0.2.0-r0.apk
3.55KB
2024-10-25 22:07:12
filebrowser-2.27.0-r14.apk
7.37MB
2025-09-09 16:17:26
filebrowser-openrc-2.27.0-r14.apk
1.82KB
2025-09-09 16:17:26
fileshelter-6.2.0-r3.apk
285.69KB
2025-02-25 08:34:42
fileshelter-openrc-6.2.0-r3.apk
1.64KB
2025-02-25 08:34:42
filite-0.3.0-r2.apk
1.01MB
2024-10-25 22:07:12
findtow-0.1-r0.apk
4.32KB
2024-10-25 22:07:12
finger-0.5-r0.apk
6.13KB
2024-10-25 22:07:12
finger-doc-0.5-r0.apk
3.78KB
2024-10-25 22:07:12
firehol-3.1.7-r2.apk
84.75KB
2024-10-25 22:07:12
firehol-doc-3.1.7-r2.apk
674.60KB
2024-10-25 22:07:12
firehol-openrc-3.1.7-r2.apk
2.05KB
2024-10-25 22:07:12
flamelens-0.3.1-r0.apk
1.03MB
2025-05-11 14:57:41
flamelens-doc-0.3.1-r0.apk
3.66KB
2025-05-11 14:57:41
flann-1.9.2-r1.apk
1.52MB
2025-02-22 14:17:56
flann-dev-1.9.2-r1.apk
1.31MB
2025-02-22 14:17:56
flann-doc-1.9.2-r1.apk
2.53KB
2025-02-22 14:17:56
flare-engine-1.14-r0.apk
4.35MB
2024-10-25 22:07:12
flare-engine-doc-1.14-r0.apk
2.47KB
2024-10-25 22:07:12
flare-game-1.14-r0.apk
2.19KB
2024-10-25 22:07:12
flatseal-2.3.1-r0.apk
42.81KB
2025-06-20 07:10:08
flatseal-doc-2.3.1-r0.apk
8.46KB
2025-06-20 07:10:08
flatseal-lang-2.3.1-r0.apk
78.93KB
2025-06-20 07:10:08
flauschige-uhr-0.1-r1.apk
4.14KB
2024-10-25 22:07:12
flawz-0.3.0-r0.apk
1.09MB
2024-11-03 23:06:33
flawz-bash-completion-0.3.0-r0.apk
2.12KB
2024-11-03 23:06:33
flawz-doc-0.3.0-r0.apk
5.99KB
2024-11-03 23:06:33
flawz-fish-completion-0.3.0-r0.apk
1.91KB
2024-11-03 23:06:33
flawz-zsh-completion-0.3.0-r0.apk
2.23KB
2024-11-03 23:06:33
flint-3.3.1-r0.apk
3.86MB
2025-06-20 07:10:08
flint-dev-3.3.1-r0.apk
311.20KB
2025-06-20 07:10:08
flintqs-1.0-r1.apk
20.17KB
2024-10-25 22:07:12
flowd-0.9.1-r11.apk
74.74KB
2025-07-01 21:10:43
flowd-dev-0.9.1-r11.apk
8.09KB
2025-07-01 21:10:43
flowd-doc-0.9.1-r11.apk
10.09KB
2025-07-01 21:10:43
flowd-openrc-0.9.1-r11.apk
1.90KB
2025-07-01 21:10:43
fnf-0.1-r0.apk
13.83KB
2024-10-25 22:07:12
fnf-doc-0.1-r0.apk
4.57KB
2024-10-25 22:07:12
foma-0.10.0_git20240712-r0.apk
284.38KB
2024-10-25 22:07:12
foma-dev-0.10.0_git20240712-r0.apk
8.47KB
2024-10-25 22:07:12
font-anonymous-pro-1.002-r2.apk
264.48KB
2024-10-25 22:07:12
font-aref-ruqaa-1.006-r0.apk
357.31KB
2025-04-12 15:25:25
font-babelstone-han-15.1.3-r0.apk
18.31MB
2024-10-25 22:07:12
font-cascadia-2407.24-r1.apk
1.27KB
2025-05-27 17:36:35
font-cascadia-code-2407.24-r1.apk
526.16KB
2025-05-27 17:36:35
font-cascadia-mono-2407.24-r1.apk
506.84KB
2025-05-27 17:36:35
font-chivo-0_git20221110-r0.apk
792.36KB
2024-10-25 22:07:12
font-chivo-mono-0_git20221110-r0.apk
625.87KB
2024-10-25 22:07:12
font-comic-neue-2.51-r0.apk
248.77KB
2024-10-25 22:07:12
font-comic-neue-doc-2.51-r0.apk
0.98MB
2024-10-25 22:07:12
font-commit-mono-1.143-r0.apk
251.25KB
2024-10-25 22:07:12
font-cousine-0_git20210228-r0.apk
109.96KB
2024-10-25 22:07:12
font-fantasque-sans-1.8.0-r0.apk
1.23KB
2024-10-25 22:07:12
font-fantasque-sans-doc-1.8.0-r0.apk
5.54KB
2024-10-25 22:07:12
font-fantasque-sans-largelineheight-1.8.0-r0.apk
315.77KB
2024-10-25 22:07:12
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
315.79KB
2024-10-25 22:07:12
font-fantasque-sans-noloopk-1.8.0-r0.apk
315.78KB
2024-10-25 22:07:12
font-fantasque-sans-normal-1.8.0-r0.apk
315.77KB
2024-10-25 22:07:12
font-fira-code-6.2-r0.apk
836.00KB
2024-10-25 22:07:12
font-fira-code-vf-6.2-r0.apk
145.06KB
2024-10-25 22:07:12
font-firamath-0.3.4-r0.apk
118.33KB
2024-10-25 22:07:12
font-fontawesome-4-4.7.0-r3.apk
205.01KB
2024-10-25 22:07:12
font-hanazono-20170904-r2.apk
28.95MB
2025-09-16 07:50:29
font-intel-one-mono-1.3.0-r0.apk
281.43KB
2024-10-25 22:07:13
font-katex-0.16.2-r0.apk
851.56KB
2024-10-25 22:07:13
font-material-icons-4.0.0-r0.apk
651.85KB
2024-10-25 22:07:13
font-monaspace-1.101-r0.apk
1.45KB
2024-10-25 22:07:13
font-monaspace-argon-1.101-r0.apk
2.21MB
2024-10-25 22:07:13
font-monaspace-krypton-1.101-r0.apk
2.07MB
2024-10-25 22:07:13
font-monaspace-neon-1.101-r0.apk
2.14MB
2024-10-25 22:07:13
font-monaspace-radon-1.101-r0.apk
2.74MB
2024-10-25 22:07:13
font-monaspace-xenon-1.101-r0.apk
2.34MB
2024-10-25 22:07:13
font-monocraft-4.0-r0.apk
676.75KB
2024-10-25 22:07:13
font-openmoji-16.0.0-r0.apk
1.37MB
2025-08-11 09:31:05
font-siji-20190218_git-r2.apk
24.49KB
2024-10-25 22:07:13
font-stix-otf-2.13-r0.apk
2.04MB
2024-10-25 22:07:13
font-stix-ttf-2.13-r0.apk
430.04KB
2024-10-25 22:07:13
font-tamzen-1.11.5-r1.apk
61.87KB
2024-10-25 22:07:13
font-terminus-ttf-4.49.3-r0.apk
537.86KB
2025-07-15 13:28:49
font-tinos-0_git20210228-r0.apk
198.66KB
2024-10-25 22:07:13
font-tiresias-0_git20200704-r0.apk
568.27KB
2024-10-25 22:07:13
font-tiresias-doc-0_git20200704-r0.apk
58.15KB
2024-10-25 22:07:13
foolsm-1.0.21-r0.apk
30.97KB
2024-10-25 22:07:13
foolsm-doc-1.0.21-r0.apk
3.85KB
2024-10-25 22:07:13
foolsm-openrc-1.0.21-r0.apk
1.55KB
2024-10-25 22:07:13
formiko-1.5.0-r0.apk
106.96KB
2025-08-15 12:06:27
formiko-doc-1.5.0-r0.apk
8.54KB
2025-08-15 12:06:27
formiko-pyc-1.5.0-r0.apk
61.55KB
2025-08-15 12:06:27
fpc-3.2.2-r4.apk
68.52MB
2024-10-25 22:07:14
fpc-doc-3.2.2-r4.apk
1.24MB
2024-10-25 22:07:14
fpc-stage0-3.2.2-r3.apk
6.17MB
2024-10-25 22:07:14
fplll-5.5.0-r0.apk
42.74KB
2024-11-20 04:43:38
fplll-dev-5.5.0-r0.apk
77.97KB
2024-11-20 04:43:38
fplll-libs-5.5.0-r0.apk
5.99MB
2024-11-20 04:43:38
fplll-static-5.5.0-r0.apk
7.64MB
2024-11-20 04:43:39
fplll-strategies-5.5.0-r0.apk
1.71MB
2024-11-20 04:43:39
fpp-0.9.5-r0.apk
29.27KB
2024-10-25 22:07:15
fpp-doc-0.9.5-r0.apk
5.60KB
2024-10-25 22:07:15
fq-0.15.1-r1.apk
4.44MB
2025-09-09 16:17:26
freealut-1.1.0-r1.apk
16.00KB
2024-10-25 22:07:15
freealut-dev-1.1.0-r1.apk
21.10KB
2024-10-25 22:07:15
freediameter-1.5.0-r1.apk
9.18KB
2024-10-25 22:07:15
freediameter-dev-1.5.0-r1.apk
53.78KB
2024-10-25 22:07:15
freediameter-extensions-1.5.0-r1.apk
426.75KB
2024-10-25 22:07:15
freediameter-libfdcore-1.5.0-r1.apk
179.60KB
2024-10-25 22:07:15
freediameter-libfdproto-1.5.0-r1.apk
93.27KB
2024-10-25 22:07:15
frescobaldi-3.3.0-r1.apk
3.48MB
2024-10-25 22:07:15
frescobaldi-doc-3.3.0-r1.apk
2.48KB
2024-10-25 22:07:15
frescobaldi-pyc-3.3.0-r1.apk
1.20MB
2024-10-25 22:07:15
freshrss-1.23.1-r1.apk
1.52MB
2024-10-25 22:07:15
freshrss-doc-1.23.1-r1.apk
751.22KB
2024-10-25 22:07:15
freshrss-lang-1.23.1-r1.apk
379.23KB
2024-10-25 22:07:15
freshrss-mysql-1.23.1-r1.apk
1.44KB
2024-10-25 22:07:15
freshrss-openrc-1.23.1-r1.apk
2.50KB
2024-10-25 22:07:15
freshrss-pgsql-1.23.1-r1.apk
1.44KB
2024-10-25 22:07:15
freshrss-sqlite-1.23.1-r1.apk
1.44KB
2024-10-25 22:07:15
freshrss-themes-1.23.1-r1.apk
1.50MB
2024-10-25 22:07:15
fulcrum-1.9.8-r1.apk
791.83KB
2024-10-25 22:07:15
fulcrum-admin-1.9.8-r1.apk
7.91KB
2024-10-25 22:07:15
fulcrum-doc-1.9.8-r1.apk
21.61KB
2024-10-25 22:07:15
fungw-1.2.2-r0.apk
13.28KB
2025-09-19 21:24:08
fungw-c-1.2.2-r0.apk
7.72KB
2025-09-19 21:24:08
fungw-cli-1.2.2-r0.apk
21.81KB
2025-09-19 21:24:08
fungw-dev-1.2.2-r0.apk
7.81KB
2025-09-19 21:24:08
fungw-doc-1.2.2-r0.apk
13.18KB
2025-09-19 21:24:08
fungw-duktape-1.2.2-r0.apk
14.94KB
2025-09-19 21:24:08
fungw-fawk-1.2.2-r0.apk
102.36KB
2025-09-19 21:24:08
fungw-lua-1.2.2-r0.apk
13.02KB
2025-09-19 21:24:08
fungw-mujs-1.2.2-r0.apk
14.43KB
2025-09-19 21:24:08
fungw-perl-1.2.2-r0.apk
46.38KB
2025-09-19 21:24:08
fungw-python3-1.2.2-r0.apk
24.66KB
2025-09-19 21:24:08
fungw-tcl-1.2.2-r0.apk
12.32KB
2025-09-19 21:24:08
fusee-nano-0.5.3-r1.apk
20.28KB
2024-10-25 22:07:15
fusee-nano-udev-0.5.3-r1.apk
1.70KB
2024-10-25 22:07:15
fuseiso-20070708-r0.apk
14.88KB
2025-04-14 04:10:06
fuseiso-doc-20070708-r0.apk
2.58KB
2025-04-14 04:10:06
fusesoc-2.3-r0.apk
46.30KB
2024-10-25 22:07:15
fusesoc-pyc-2.3-r0.apk
89.26KB
2024-10-25 22:07:15
fxfloorboard-katana-mk2-20240515-r1.apk
5.50MB
2024-10-25 22:07:15
fxfloorboard-katana-mk2-doc-20240515-r1.apk
1.09MB
2024-10-25 22:07:15
gambit-4.9.5-r1.apk
10.60MB
2025-04-07 13:32:57
gambit-dev-4.9.5-r1.apk
6.60MB
2025-04-07 13:32:57
gambit-doc-4.9.5-r1.apk
4.33KB
2025-04-07 13:32:57
game-devices-udev-0.23-r0.apk
6.17KB
2024-11-12 12:58:22
gamemode-1.8.2-r0.apk
68.59KB
2025-02-06 01:19:36
gamemode-dev-1.8.2-r0.apk
5.10KB
2025-02-06 01:19:36
gamemode-doc-1.8.2-r0.apk
7.54KB
2025-02-06 01:19:36
gammastep-2.0.9-r3.apk
87.23KB
2024-10-25 22:07:15
gammastep-doc-2.0.9-r3.apk
14.06KB
2024-10-25 22:07:15
gammastep-lang-2.0.9-r3.apk
77.54KB
2024-10-25 22:07:15
gammastep-pyc-2.0.9-r3.apk
16.88KB
2024-10-25 22:07:15
gatling-0.16-r6.apk
135.52KB
2024-10-25 22:07:15
gatling-doc-0.16-r6.apk
9.08KB
2024-10-25 22:07:15
gatling-openrc-0.16-r6.apk
2.78KB
2024-10-25 22:07:15
gaupol-1.12-r2.apk
276.17KB
2024-10-25 22:07:15
gaupol-doc-1.12-r2.apk
2.37KB
2024-10-25 22:07:15
gaupol-lang-1.12-r2.apk
276.68KB
2024-10-25 22:07:15
gaupol-pyc-1.12-r2.apk
419.24KB
2024-10-25 22:07:15
gb-0.4.4-r34.apk
7.05MB
2025-09-09 16:17:26
gcli-2.6.1-r0.apk
108.66KB
2025-01-26 19:28:57
gcli-doc-2.6.1-r0.apk
28.24KB
2025-01-26 19:28:57
gdcm-3.2.1-r0.apk
399.28KB
2025-09-23 01:57:06
gdcm-dev-3.2.1-r0.apk
449.83KB
2025-09-23 01:57:06
gdcm-doc-3.2.1-r0.apk
55.41KB
2025-09-23 01:57:06
gdcm-doc-html-3.2.1-r0.apk
8.89MB
2025-09-23 01:57:07
gdcm-doc-pdf-3.2.1-r0.apk
14.24MB
2025-09-23 01:57:07
gearman-dev-1.1.22-r0.apk
0.98MB
2025-09-09 16:17:26
gearman-libs-1.1.22-r0.apk
67.21KB
2025-09-09 16:17:26
gearmand-1.1.22-r0.apk
146.93KB
2025-09-09 16:17:26
gearmand-doc-1.1.22-r0.apk
189.02KB
2025-09-09 16:17:26
gearmand-openrc-1.1.22-r0.apk
1.81KB
2025-09-09 16:17:26
gede-2.18.2-r1.apk
241.39KB
2024-10-25 22:07:16
genact-1.4.2-r0.apk
1.25MB
2024-10-25 22:07:16
geoclue-stumbler-0.2.0-r0.apk
26.17KB
2024-12-31 14:56:34
geodns-3.3.0-r16.apk
4.78MB
2025-09-09 16:17:26
geodns-logs-3.3.0-r16.apk
4.37MB
2025-09-09 16:17:26
geodns-openrc-3.3.0-r16.apk
1.78KB
2025-09-09 16:17:26
geomyidae-0.34-r2.apk
12.51KB
2024-10-25 22:07:16
geomyidae-doc-0.34-r2.apk
7.65KB
2024-10-25 22:07:16
geomyidae-openrc-0.34-r2.apk
1.99KB
2024-10-25 22:07:16
geonames-0.3.1-r2.apk
826.31KB
2024-10-25 22:07:16
geonames-dev-0.3.1-r2.apk
3.02KB
2024-10-25 22:07:16
geonames-doc-0.3.1-r2.apk
12.59KB
2024-10-25 22:07:16
geonames-lang-0.3.1-r2.apk
4.58MB
2024-10-25 22:07:16
getmail6-6.19.10-r0.apk
70.39KB
2025-08-19 14:29:09
getmail6-doc-6.19.10-r0.apk
138.51KB
2025-08-19 14:29:09
getmail6-pyc-6.19.10-r0.apk
103.27KB
2025-08-19 14:29:09
getssl-2.48-r0.apk
82.17KB
2024-10-25 22:07:16
getting-things-gnome-0.6-r4.apk
714.92KB
2024-12-08 23:36:41
getting-things-gnome-doc-0.6-r4.apk
497.40KB
2024-12-08 23:36:41
getting-things-gnome-lang-0.6-r4.apk
228.71KB
2024-12-08 23:36:41
gf2x-1.3.0-r1.apk
33.88KB
2024-10-25 22:07:16
gf2x-dev-1.3.0-r1.apk
55.12KB
2024-10-25 22:07:16
ghc-filesystem-1.5.14-r0.apk
38.62KB
2024-10-25 22:07:16
ghq-1.8.0-r4.apk
3.70MB
2025-09-09 16:17:26
ghq-bash-completion-1.8.0-r4.apk
1.79KB
2025-09-09 16:17:26
ghq-doc-1.8.0-r4.apk
5.50KB
2025-09-09 16:17:26
ghq-fish-completion-1.8.0-r4.apk
2.55KB
2025-09-09 16:17:26
ghq-zsh-completion-1.8.0-r4.apk
2.49KB
2025-09-09 16:17:26
gimp-plugin-gmic-3.6.0-r0.apk
1.19MB
2025-08-22 15:14:22
ginac-1.8.9-r0.apk
0.98MB
2025-05-25 10:49:32
ginac-dev-1.8.9-r0.apk
68.16KB
2025-05-25 10:49:32
ginac-doc-1.8.9-r0.apk
97.51KB
2025-05-25 10:49:32
ginger-2.4.0-r7.apk
257.07KB
2024-10-25 22:07:16
ginger-lang-2.4.0-r7.apk
125.45KB
2024-10-25 22:07:16
ginger-pyc-2.4.0-r7.apk
206.84KB
2024-10-25 22:07:16
gingerbase-2.3.0-r7.apk
195.23KB
2024-10-25 22:07:16
gingerbase-lang-2.3.0-r7.apk
52.86KB
2024-10-25 22:07:16
gingerbase-pyc-2.3.0-r7.apk
61.47KB
2024-10-25 22:07:16
git-bug-0.8.1-r4.apk
9.79MB
2025-09-09 16:17:26
git-bug-bash-completion-0.8.1-r4.apk
5.27KB
2025-09-09 16:17:26
git-bug-doc-0.8.1-r4.apk
16.86KB
2025-09-09 16:17:26
git-bug-fish-completion-0.8.1-r4.apk
4.33KB
2025-09-09 16:17:26
git-bug-zsh-completion-0.8.1-r4.apk
4.05KB
2025-09-09 16:17:26
git-extras-7.4.0-r0.apk
57.08KB
2025-07-24 16:42:48
git-extras-bash-completion-7.4.0-r0.apk
2.87KB
2025-07-24 16:42:48
git-extras-doc-7.4.0-r0.apk
65.19KB
2025-07-24 16:42:48
git-graph-0.6.0-r0.apk
751.56KB
2024-11-26 01:38:25
git-graph-doc-0.6.0-r0.apk
6.24KB
2024-11-26 01:38:25
git-quick-stats-2.8.0-r0.apk
15.24KB
2025-09-11 11:18:33
git-quick-stats-doc-2.8.0-r0.apk
3.47KB
2025-09-11 11:18:33
git-revise-0.7.0-r5.apk
24.30KB
2024-10-25 22:07:16
git-revise-doc-0.7.0-r5.apk
4.96KB
2024-10-25 22:07:16
git-revise-pyc-0.7.0-r5.apk
42.19KB
2024-10-25 22:07:16
git-secret-0.5.0-r0.apk
14.75KB
2024-10-25 22:07:16
git-secret-doc-0.5.0-r0.apk
17.07KB
2024-10-25 22:07:16
git2json-0.2.3-r8.apk
7.42KB
2024-10-25 22:07:16
git2json-pyc-0.2.3-r8.apk
5.69KB
2024-10-25 22:07:16
gkrellm-2.3.11-r0.apk
345.83KB
2025-01-09 00:36:44
gkrellm-dev-2.3.11-r0.apk
16.50KB
2025-01-09 00:36:44
gkrellm-doc-2.3.11-r0.apk
18.53KB
2025-01-09 00:36:44
gkrellm-lang-2.3.11-r0.apk
379.12KB
2025-01-09 00:36:44
gkrellm-server-2.3.11-r0.apk
47.38KB
2025-01-09 00:36:44
glfw-wayland-3.3.8-r3.apk
57.04KB
2024-10-25 22:07:16
glfw-wayland-dbg-3.3.8-r3.apk
200.95KB
2024-10-25 22:07:16
glfw-wayland-dev-3.3.8-r3.apk
45.59KB
2024-10-25 22:07:16
gliderlabs-sigil-0.11.0-r8.apk
3.24MB
2025-09-09 16:17:26
gliderlabs-sigil-doc-0.11.0-r8.apk
2.42KB
2025-09-09 16:17:26
glmark2-2023.01-r1.apk
7.90MB
2024-10-25 22:07:16
glmark2-doc-2023.01-r1.apk
12.81KB
2024-10-25 22:07:16
gloox-1.0.28-r0.apk
332.41KB
2024-10-25 22:07:16
gloox-dev-1.0.28-r0.apk
895.68KB
2024-10-25 22:07:16
glow-2.1.1-r3.apk
5.98MB
2025-09-09 16:17:26
glow-bash-completion-2.1.1-r3.apk
6.10KB
2025-09-09 16:17:26
glow-doc-2.1.1-r3.apk
3.20KB
2025-09-09 16:17:26
glow-fish-completion-2.1.1-r3.apk
4.32KB
2025-09-09 16:17:26
glow-zsh-completion-2.1.1-r3.apk
4.04KB
2025-09-09 16:17:26
glslviewer-3.2.4-r2.apk
1.49MB
2025-08-28 20:48:04
gmcapsule-0.9.7-r0.apk
36.04KB
2025-01-08 21:12:56
gmcapsule-openrc-0.9.7-r0.apk
1.92KB
2025-01-08 21:12:56
gmcapsule-pyc-0.9.7-r0.apk
60.63KB
2025-01-08 21:12:56
gmenuharness-0.1.4-r2.apk
32.09KB
2025-02-22 14:17:56
gmenuharness-dev-0.1.4-r2.apk
4.07KB
2025-02-22 14:17:56
gmic-3.6.0-r0.apk
12.32MB
2025-08-22 15:14:22
gmic-bash-completion-3.6.0-r0.apk
28.68KB
2025-08-22 15:14:22
gmic-dev-3.6.0-r0.apk
7.62KB
2025-08-22 15:14:22
gmic-doc-3.6.0-r0.apk
222.88KB
2025-08-22 15:14:22
gmic-libs-3.6.0-r0.apk
3.07MB
2025-08-22 15:14:22
gmic-qt-3.6.0-r0.apk
1.51MB
2025-08-22 15:14:22
gmid-2.1.1-r0.apk
189.75KB
2024-11-27 21:26:03
gmid-doc-2.1.1-r0.apk
14.45KB
2024-11-27 21:26:03
gmid-openrc-2.1.1-r0.apk
2.19KB
2024-11-27 21:26:03
gnome-common-3.18.0-r3.apk
11.53KB
2024-10-25 22:07:17
gnome-latex-3.48.0-r0.apk
343.69KB
2025-04-08 00:29:06
gnome-latex-doc-3.48.0-r0.apk
108.00KB
2025-04-08 00:29:06
gnome-latex-lang-3.48.0-r0.apk
530.26KB
2025-04-08 00:29:06
gnome-metronome-1.3.0-r0.apk
410.94KB
2024-10-25 22:07:17
gnome-metronome-lang-1.3.0-r0.apk
24.68KB
2024-10-25 22:07:17
gnome-mimeapps-0.1-r1.apk
3.69KB
2025-08-09 03:22:03
gnome-user-share-48.1-r1.apk
260.62KB
2025-08-09 03:22:03
gnome-user-share-lang-48.1-r1.apk
67.92KB
2025-08-09 03:22:03
gnu-apl-1.9-r0.apk
1.22MB
2024-10-25 22:07:17
gnu-apl-dev-1.9-r0.apk
580.19KB
2024-10-25 22:07:17
gnu-apl-doc-1.9-r0.apk
1.56MB
2024-10-25 22:07:17
gnucobol-3.2-r0.apk
770.67KB
2025-07-28 17:02:39
gnucobol-doc-3.2-r0.apk
70.80KB
2025-07-28 17:02:39
gnucobol-lang-3.2-r0.apk
316.03KB
2025-07-28 17:02:39
go-away-0.7.0-r1.apk
7.88MB
2025-09-09 16:17:26
go-away-openrc-0.7.0-r1.apk
2.26KB
2025-09-09 16:17:26
go-jsonnet-0.21.0-r3.apk
6.35MB
2025-09-09 16:17:26
go-mtpfs-1.0.0-r30.apk
1.16MB
2025-09-09 16:17:26
go-passbolt-cli-0.3.2-r6.apk
6.04MB
2025-09-09 16:17:27
gobang-0.1.0_alpha5-r1.apk
1.54MB
2024-10-25 22:07:17
gobuster-3.8.0-r1.apk
3.60MB
2025-09-09 16:17:27
godini-1.0.0-r3.apk
1.46MB
2025-09-09 16:17:27
godini-doc-1.0.0-r3.apk
14.77KB
2025-09-09 16:17:27
godot-4.4.1-r0.apk
55.86MB
2025-05-11 14:57:44
godot-doc-4.4.1-r0.apk
4.55KB
2025-05-11 14:57:44
godot-templates-4.4.1-r0.apk
43.66MB
2025-05-11 14:57:45
gomp-1.0.0-r15.apk
3.58MB
2025-09-09 16:17:27
goomwwm-1.0.0-r5.apk
41.82KB
2024-10-25 22:07:19
goreman-0.3.15-r16.apk
2.47MB
2025-09-09 16:17:27
goshs-1.1.0-r3.apk
6.19MB
2025-09-09 16:17:27
goshs-doc-1.1.0-r3.apk
2.26KB
2025-09-09 16:17:27
gotify-2.7.2-r0.apk
10.61MB
2025-09-19 17:53:44
gotify-cli-2.3.2-r8.apk
4.20MB
2025-09-09 16:17:27
gotify-openrc-2.7.2-r0.apk
2.00KB
2025-09-19 17:53:44
goxel-0.15.1-r0.apk
1.55MB
2024-10-25 22:07:19
gprbuild-22.0.0-r3.apk
12.28MB
2024-10-25 22:07:19
gpsbabel-1.9.0-r0.apk
1.20MB
2024-10-25 22:07:19
gpsbabel-lang-1.9.0-r0.apk
88.23KB
2024-10-25 22:07:19
gpscorrelate-2.3-r0.apk
44.80KB
2025-03-27 08:36:12
gpscorrelate-cli-2.3-r0.apk
22.01KB
2025-03-27 08:36:12
gpscorrelate-doc-2.3-r0.apk
284.96KB
2025-03-27 08:36:12
gpscorrelate-lang-2.3-r0.apk
17.39KB
2025-03-27 08:36:12
gr-satellites-5.5.0-r5.apk
454.51KB
2025-04-11 21:19:08
gr-satellites-dev-5.5.0-r5.apk
12.72KB
2025-04-11 21:19:08
gr-satellites-doc-5.5.0-r5.apk
4.52KB
2025-04-11 21:19:08
gradia-1.9.0-r0.apk
530.40KB
2025-09-09 16:17:27
gradia-dev-1.9.0-r0.apk
2.53KB
2025-09-09 16:17:27
gradia-lang-1.9.0-r0.apk
55.54KB
2025-09-09 16:17:27
granite7-7.5.0-r0.apk
110.25KB
2024-10-25 22:07:19
granite7-dev-7.5.0-r0.apk
39.01KB
2024-10-25 22:07:19
granite7-lang-7.5.0-r0.apk
51.97KB
2024-10-25 22:07:19
grcov-0.8.20-r0.apk
1.75MB
2024-11-11 12:03:19
greetd-mini-wl-greeter-0_git20230821-r0.apk
17.58KB
2024-10-25 22:07:19
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2.17KB
2024-10-25 22:07:19
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
3.26KB
2024-10-25 22:07:19
grip-4.2.4-r0.apk
372.15KB
2024-10-25 22:07:19
grip-doc-4.2.4-r0.apk
6.18KB
2024-10-25 22:07:19
grip-lang-4.2.4-r0.apk
144.42KB
2024-10-25 22:07:19
gron-0.7.1-r28.apk
2.56MB
2025-09-09 16:17:27
gron-doc-0.7.1-r28.apk
6.33KB
2025-09-09 16:17:27
grpcui-1.5.1-r2.apk
8.39MB
2025-09-09 16:17:27
grpcurl-1.9.3-r6.apk
7.99MB
2025-09-09 16:17:27
gsettings-qt-1.0.0-r0.apk
24.90KB
2025-03-14 12:59:15
gsettings-qt-dev-1.0.0-r0.apk
3.47KB
2025-03-14 12:59:15
gsimplecal-2.5.1-r0.apk
15.54KB
2024-10-25 22:07:21
gsimplecal-doc-2.5.1-r0.apk
5.77KB
2024-10-25 22:07:21
gssdp-1.6.4-r1.apk
41.14KB
2025-08-09 03:22:03
gssdp-dev-1.6.4-r1.apk
15.52KB
2025-08-09 03:22:03
gst-video-thumbnailer-0_git20250917-r0.apk
160.24KB
2025-09-23 10:16:40
gstreamermm-1.10.0-r6.apk
403.07KB
2025-02-22 14:17:56
gstreamermm-dev-1.10.0-r6.apk
309.74KB
2025-02-22 14:17:56
gtimelog-0.12_git20250120-r1.apk
219.30KB
2025-08-09 03:22:03
gtimelog-pyc-0.12_git20250120-r1.apk
102.35KB
2025-08-09 03:22:03
gtk-session-lock-0.2.0-r0.apk
22.84KB
2025-02-01 19:06:23
gtk-session-lock-dev-0.2.0-r0.apk
5.28KB
2025-02-01 19:06:23
gtkhash-1.5-r0.apk
83.57KB
2024-10-25 22:07:21
gtkhash-lang-1.5-r0.apk
46.63KB
2024-10-25 22:07:21
gtklock-4.0.0-r0.apk
17.02KB
2025-02-01 19:06:23
gtklock-doc-4.0.0-r0.apk
2.97KB
2025-02-01 19:06:23
gtkwave-3.3.120-r0.apk
2.45MB
2024-10-25 22:07:21
gtkwave-doc-3.3.120-r0.apk
27.12KB
2024-10-25 22:07:21
gtranslator-49.0-r0.apk
126.79KB
2025-09-16 07:50:29
gtranslator-doc-49.0-r0.apk
637.51KB
2025-09-16 07:50:29
gtranslator-lang-49.0-r0.apk
593.01KB
2025-09-16 07:50:29
guake-3.10-r1.apk
305.32KB
2024-10-25 22:07:21
guake-lang-3.10-r1.apk
187.80KB
2024-10-25 22:07:21
guake-pyc-3.10-r1.apk
185.92KB
2024-10-25 22:07:21
guestfs-tools-1.56.1-r0.apk
276.43KB
2025-07-24 16:42:48
guetzli-0_git20191025-r2.apk
127.83KB
2025-05-25 10:49:32
guetzli-dev-0_git20191025-r2.apk
2.20MB
2025-05-25 10:49:33
gufw-24.04-r3.apk
596.48KB
2024-11-20 04:43:39
gufw-doc-24.04-r3.apk
4.48KB
2024-11-20 04:43:39
gufw-lang-24.04-r3.apk
854.59KB
2024-11-20 04:43:39
gufw-pyc-24.04-r3.apk
64.57KB
2024-11-20 04:43:39
guish-2.6.11-r0.apk
80.62KB
2024-12-24 12:42:32
guish-doc-2.6.11-r0.apk
61.29KB
2024-12-24 12:42:32
gupnp-1.6.9-r1.apk
79.14KB
2025-08-09 03:22:03
gupnp-av-0.14.4-r1.apk
71.59KB
2025-08-09 03:22:03
gupnp-av-dev-0.14.4-r1.apk
41.58KB
2025-08-09 03:22:03
gupnp-dev-1.6.9-r1.apk
50.40KB
2025-08-09 03:22:03
gupnp-dlna-0.12.0-r1.apk
58.64KB
2025-08-09 03:22:03
gupnp-dlna-dev-0.12.0-r1.apk
24.34KB
2025-08-09 03:22:03
gupnp-doc-1.6.9-r1.apk
3.80KB
2025-08-09 03:22:03
gx-0.14.3-r33.apk
4.68MB
2025-09-09 16:17:27
gx-doc-0.14.3-r33.apk
2.27KB
2025-09-09 16:17:27
gx-go-1.9.0-r35.apk
4.93MB
2025-09-09 16:17:27
gx-go-doc-1.9.0-r35.apk
2.27KB
2025-09-09 16:17:27
gxlimg-0_git20240711-r0.apk
22.75KB
2025-01-25 09:03:55
gyosu-0.2.0-r1.apk
1.81MB
2025-09-09 16:17:27
h4h5tools-2.2.5-r4.apk
112.44KB
2024-10-25 22:07:22
h4h5tools-dev-2.2.5-r4.apk
8.75KB
2024-10-25 22:07:22
h4h5tools-doc-2.2.5-r4.apk
2.72KB
2024-10-25 22:07:22
h4h5tools-static-2.2.5-r4.apk
107.57KB
2024-10-25 22:07:22
habitctl-0.1.0-r2.apk
285.25KB
2024-10-25 22:07:22
halp-0.2.0-r0.apk
829.72KB
2024-10-25 22:07:22
halp-bash-completion-0.2.0-r0.apk
2.17KB
2024-10-25 22:07:22
halp-doc-0.2.0-r0.apk
6.88KB
2024-10-25 22:07:22
halp-fish-completion-0.2.0-r0.apk
1.95KB
2024-10-25 22:07:22
halp-zsh-completion-0.2.0-r0.apk
2.41KB
2024-10-25 22:07:22
hamster-time-tracker-3.0.3-r2.apk
155.83KB
2024-10-25 22:07:22
hamster-time-tracker-bash-completion-3.0.3-r2.apk
1.97KB
2024-10-25 22:07:22
hamster-time-tracker-doc-3.0.3-r2.apk
116.20KB
2024-10-25 22:07:22
hamster-time-tracker-lang-3.0.3-r2.apk
205.70KB
2024-10-25 22:07:22
hamster-time-tracker-pyc-3.0.3-r2.apk
358.13KB
2024-10-25 22:07:22
hardinfo2-2.2.10-r0.apk
3.31MB
2025-05-19 01:20:40
hardinfo2-doc-2.2.10-r0.apk
2.67KB
2025-05-19 01:20:40
hardinfo2-lang-2.2.10-r0.apk
273.15KB
2025-05-19 01:20:40
hardinfo2-openrc-2.2.10-r0.apk
1.95KB
2025-05-19 01:20:40
harminv-1.4.2-r1.apk
7.12KB
2024-10-25 22:07:22
harminv-dev-1.4.2-r1.apk
3.10KB
2024-10-25 22:07:22
harminv-doc-1.4.2-r1.apk
5.64KB
2024-10-25 22:07:22
harminv-libs-1.4.2-r1.apk
10.88KB
2024-10-25 22:07:22
hashcat-6.2.6-r0.apk
51.83MB
2024-10-25 22:07:23
hashcat-doc-6.2.6-r0.apk
2.15MB
2024-10-25 22:07:23
hatch-1.14.1-r0.apk
102.12KB
2025-04-11 21:19:08
hatch-pyc-1.14.1-r0.apk
215.82KB
2025-04-11 21:19:08
hatop-0.8.2-r0.apk
17.95KB
2024-10-25 22:07:23
hatop-doc-0.8.2-r0.apk
3.00KB
2024-10-25 22:07:23
haxe-4.3.3-r1.apk
9.80MB
2025-03-22 16:33:08
haxe-doc-4.3.3-r1.apk
7.74KB
2025-03-22 16:33:08
hctl-0.2.7-r0.apk
1.17MB
2025-05-14 03:04:33
hddfancontrol-1.6.2-r0.apk
32.83KB
2024-10-25 22:07:23
hddfancontrol-openrc-1.6.2-r0.apk
2.19KB
2024-10-25 22:07:23
hddfancontrol-pyc-1.6.2-r0.apk
34.03KB
2024-10-25 22:07:23
hdf4-4.2.15-r2.apk
240.84KB
2024-10-25 22:07:23
hdf4-dev-4.2.15-r2.apk
100.84KB
2024-10-25 22:07:23
hdf4-doc-4.2.15-r2.apk
6.00KB
2024-10-25 22:07:23
hdf4-tools-4.2.15-r2.apk
173.34KB
2024-10-25 22:07:23
heh-0.6.1-r0.apk
415.18KB
2024-10-25 22:07:23
heh-doc-0.6.1-r0.apk
4.07KB
2024-10-25 22:07:23
heisenbridge-1.15.3-r0.apk
67.22KB
2025-04-20 08:17:12
heisenbridge-pyc-1.15.3-r0.apk
154.96KB
2025-04-20 08:17:12
helm-diff-3.11.0-r4.apk
21.09MB
2025-09-09 16:17:27
helm-ls-0.5.0-r2.apk
18.15MB
2025-09-09 16:17:27
helm-ls-doc-0.5.0-r2.apk
2.25KB
2025-09-09 16:17:27
helm-mapkubeapis-0.5.2-r9.apk
19.55MB
2025-09-09 16:17:28
helm-unittest-0.8.2-r3.apk
11.77MB
2025-09-09 16:17:28
helmfile-1.1.3-r2.apk
45.77MB
2025-09-09 16:17:28
helmfile-bash-completion-1.1.3-r2.apk
6.11KB
2025-09-09 16:17:28
helmfile-doc-1.1.3-r2.apk
2.25KB
2025-09-09 16:17:28
helmfile-fish-completion-1.1.3-r2.apk
4.33KB
2025-09-09 16:17:28
helmfile-zsh-completion-1.1.3-r2.apk
4.04KB
2025-09-09 16:17:28
herbe-1.0.0-r0.apk
5.62KB
2024-10-25 22:07:24
hex-0.6.0-r0.apk
257.94KB
2024-10-25 22:07:24
hexedit-1.6_git20230905-r0.apk
16.82KB
2024-10-25 22:07:24
hexedit-doc-1.6_git20230905-r0.apk
5.57KB
2024-10-25 22:07:24
hfst-3.16.2-r0.apk
1.26MB
2025-03-29 20:40:17
hfst-dev-3.16.2-r0.apk
208.99KB
2025-03-29 20:40:17
hfst-doc-3.16.2-r0.apk
69.66KB
2025-03-29 20:40:17
hfst-libs-3.16.2-r0.apk
1.72MB
2025-03-29 20:40:17
hiawatha-11.6-r1.apk
150.15KB
2025-05-27 14:06:54
hiawatha-doc-11.6-r1.apk
21.02KB
2025-05-27 14:06:54
hiawatha-letsencrypt-11.6-r1.apk
17.24KB
2025-05-27 14:06:54
hiawatha-openrc-11.6-r1.apk
1.69KB
2025-05-27 14:06:54
hidrd-0.2.0_git20190603-r1.apk
62.93KB
2024-10-25 22:07:24
hidrd-dev-0.2.0_git20190603-r1.apk
117.59KB
2024-10-25 22:07:24
highfive-2.10.1-r0.apk
75.48KB
2025-01-15 04:50:39
hilbish-2.3.4-r8.apk
3.39MB
2025-09-09 16:17:28
hilbish-doc-2.3.4-r8.apk
24.94KB
2025-09-09 16:17:28
himitsu-secret-service-0.1_git20250705-r0.apk
15.77KB
2025-08-25 13:57:34
himitsu-secret-service-doc-0.1_git20250705-r0.apk
3.97KB
2025-08-25 13:57:34
himitsu-secret-service-pyc-0.1_git20250705-r0.apk
28.61KB
2025-08-25 13:57:34
hiprompt-gtk-py-0.8.0-r1.apk
8.04KB
2025-09-19 17:53:44
hitide-0.15.0-r0.apk
1.85MB
2024-10-25 22:07:24
hitide-openrc-0.15.0-r0.apk
2.12KB
2024-10-25 22:07:24
homebank-5.9.1-r0.apk
2.00MB
2025-05-11 14:57:47
homebank-lang-5.9.1-r0.apk
942.40KB
2025-05-11 14:57:47
horizon-0.9.6-r9.apk
186.75KB
2024-10-25 22:07:24
horizon-dbg-0.9.6-r9.apk
4.04MB
2024-10-25 22:07:24
horizon-dev-0.9.6-r9.apk
4.88KB
2024-10-25 22:07:24
horizon-doc-0.9.6-r9.apk
21.45KB
2024-10-25 22:07:24
horizon-image-0.9.6-r9.apk
60.03KB
2024-10-25 22:07:24
horizon-tools-0.9.6-r9.apk
67.23KB
2024-10-25 22:07:24
horust-0.1.9-r0.apk
1.04MB
2025-08-08 19:10:39
horust-doc-0.1.9-r0.apk
10.14KB
2025-08-08 19:10:39
hping3-20051105-r4.apk
62.79KB
2024-10-25 22:07:24
hping3-doc-20051105-r4.apk
16.69KB
2024-10-25 22:07:24
hpnssh-18.6.2-r0.apk
2.20MB
2025-03-20 13:54:43
hpnssh-doc-18.6.2-r0.apk
99.16KB
2025-03-20 13:54:43
hsetroot-1.0.5-r1.apk
10.83KB
2024-10-25 22:07:24
hstdb-2.1.0-r2.apk
787.34KB
2024-10-25 22:07:24
htmlcxx-0.87-r1.apk
54.27KB
2024-10-25 22:07:24
htmlcxx-dev-0.87-r1.apk
20.62KB
2024-10-25 22:07:24
htslib-1.19-r0.apk
330.81KB
2024-10-25 22:07:24
htslib-dev-1.19-r0.apk
115.29KB
2024-10-25 22:07:24
htslib-doc-1.19-r0.apk
22.63KB
2024-10-25 22:07:24
htslib-static-1.19-r0.apk
418.86KB
2024-10-25 22:07:24
htslib-tools-1.19-r0.apk
1.06MB
2024-10-25 22:07:24
httpie-oauth-1.0.2-r9.apk
3.36KB
2024-10-25 22:07:24
httpie-oauth-pyc-1.0.2-r9.apk
2.31KB
2024-10-25 22:07:24
httplz-2.2.0-r0.apk
1.20MB
2025-05-18 18:38:59
httplz-doc-2.2.0-r0.apk
2.25KB
2025-05-18 18:38:59
httpx-1.7.1-r1.apk
14.16MB
2025-09-09 16:17:28
httpx-doc-1.7.1-r1.apk
2.28KB
2025-09-09 16:17:28
httrack-3.49.2-r5.apk
716.58KB
2024-10-25 22:07:24
httrack-doc-3.49.2-r5.apk
527.96KB
2024-10-25 22:07:25
hub-2.14.2-r34.apk
2.88MB
2025-09-09 16:17:28
hub-bash-completion-2.14.2-r34.apk
4.59KB
2025-09-09 16:17:28
hub-doc-2.14.2-r34.apk
41.98KB
2025-09-09 16:17:28
hub-fish-completion-2.14.2-r34.apk
3.30KB
2025-09-09 16:17:28
hub-zsh-completion-2.14.2-r34.apk
3.71KB
2025-09-09 16:17:28
hubble-cli-0.13.6-r8.apk
17.54MB
2025-09-09 16:17:29
hubble-cli-bash-completion-0.13.6-r8.apk
5.07KB
2025-09-09 16:17:29
hubble-cli-fish-completion-0.13.6-r8.apk
4.33KB
2025-09-09 16:17:29
hubble-cli-zsh-completion-0.13.6-r8.apk
4.06KB
2025-09-09 16:17:29
hunspell-ca-es-3.0.7-r0.apk
731.25KB
2024-10-25 22:07:25
hunspell-fr-7.0-r0.apk
1.20MB
2025-06-13 17:31:53
hunspell-fr-doc-7.0-r0.apk
2.85KB
2025-06-13 17:31:53
hurl-6.1.1-r0.apk
1.65MB
2025-05-11 14:57:47
hurl-bash-completion-6.1.1-r0.apk
2.29KB
2025-05-11 14:57:47
hurl-doc-6.1.1-r0.apk
8.78KB
2025-05-11 14:57:47
hurl-fish-completion-6.1.1-r0.apk
3.51KB
2025-05-11 14:57:47
hurl-zsh-completion-6.1.1-r0.apk
4.00KB
2025-05-11 14:57:47
hurlfmt-6.1.1-r0.apk
770.18KB
2025-05-11 14:57:47
hw-probe-1.6.6-r2.apk
123.81KB
2025-07-01 21:10:43
hwatch-0.3.11-r0.apk
893.93KB
2024-10-25 22:07:25
hwatch-doc-0.3.11-r0.apk
3.02KB
2024-10-25 22:07:25
hwatch-fish-completion-0.3.11-r0.apk
1.79KB
2024-10-25 22:07:25
hwatch-zsh-completion-0.3.11-r0.apk
1.90KB
2024-10-25 22:07:25
hx-1.0.15-r0.apk
13.22KB
2024-10-25 22:07:25
hx-doc-1.0.15-r0.apk
4.78KB
2024-10-25 22:07:25
hyfetch-2.0.2-r0.apk
798.66KB
2025-09-09 16:17:29
hyfetch-bash-completion-2.0.2-r0.apk
3.34KB
2025-09-09 16:17:29
hyfetch-doc-2.0.2-r0.apk
18.53KB
2025-09-09 16:17:29
hyfetch-zsh-completion-2.0.2-r0.apk
2.57KB
2025-09-09 16:17:29
hyperrogue-13.1e-r0.apk
82.90MB
2025-09-13 16:05:44
hyperrogue-doc-13.1e-r0.apk
7.98KB
2025-09-13 16:05:44
hypnotix-3.5-r0.apk
110.04KB
2024-10-25 22:07:25
hypnotix-lang-3.5-r0.apk
72.38KB
2024-10-25 22:07:25
hypridle-0.1.7-r0.apk
109.51KB
2025-09-23 14:41:25
hypridle-doc-0.1.7-r0.apk
2.39KB
2025-09-23 14:41:25
hypridle-openrc-0.1.7-r0.apk
1.70KB
2025-09-23 14:41:25
hyprlock-0.9.1-r0.apk
364.62KB
2025-09-25 13:24:49
hyprpicker-0.4.5-r0.apk
99.62KB
2025-09-23 14:43:05
hyprpicker-doc-0.4.5-r0.apk
3.82KB
2025-09-23 14:43:05
hyprsunset-0.3.2-r0.apk
119.27KB
2025-09-23 14:42:19
hyprsunset-doc-0.3.2-r0.apk
2.42KB
2025-09-23 14:42:19
hyprsunset-openrc-0.3.2-r0.apk
1.76KB
2025-09-23 14:42:19
hyx-2024.02.29-r0.apk
15.82KB
2024-10-25 22:07:25
hyx-doc-2024.02.29-r0.apk
2.24KB
2024-10-25 22:07:25
i2util-4.2.1-r1.apk
20.44KB
2024-10-25 22:07:25
i2util-dev-4.2.1-r1.apk
42.69KB
2024-10-25 22:07:25
i2util-doc-4.2.1-r1.apk
4.71KB
2024-10-25 22:07:25
i3bar-river-1.1.0-r0.apk
463.01KB
2025-03-14 16:05:48
i3status-rust-0.34.0-r0.apk
4.15MB
2025-07-24 16:42:48
i3status-rust-doc-0.34.0-r0.apk
33.13KB
2025-07-24 16:42:48
ibus-typing-booster-2.27.75-r0.apk
12.64MB
2025-09-19 17:53:45
ibus-typing-booster-lang-2.27.75-r0.apk
307.11KB
2025-09-19 17:53:45
ibus-typing-booster-pyc-2.27.75-r0.apk
1.27MB
2025-09-19 17:53:45
icesprog-0_git20240108-r1.apk
8.22KB
2024-10-25 22:07:25
icesprog-udev-0_git20240108-r1.apk
1.89KB
2024-10-25 22:07:25
icestorm-0_git20240517-r0.apk
16.52MB
2024-10-25 22:07:25
identities-0.1.4-r0.apk
13.41KB
2025-05-11 14:57:47
identme-0.6.0-r0.apk
43.43KB
2025-04-03 15:33:48
idesk-1-r1.apk
60.48KB
2024-10-25 22:07:25
ideviceinstaller-1.1.1-r4.apk
13.96KB
2024-10-31 00:44:42
ideviceinstaller-doc-1.1.1-r4.apk
2.46KB
2024-10-31 00:44:42
idevicerestore-1.0.0-r4.apk
76.61KB
2024-10-31 00:44:42
idevicerestore-doc-1.0.0-r4.apk
2.54KB
2024-10-31 00:44:42
ifuse-1.1.4-r5.apk
9.43KB
2024-10-31 00:44:42
ifuse-doc-1.1.4-r5.apk
2.34KB
2024-10-31 00:44:42
igrep-1.2.0-r0.apk
1.47MB
2024-10-25 22:07:25
igrep-doc-1.2.0-r0.apk
4.13KB
2024-10-25 22:07:25
ijq-1.2.0-r0.apk
1.42MB
2025-09-19 17:53:45
ijq-doc-1.2.0-r0.apk
3.59KB
2025-09-19 17:53:45
imapfilter-2.8.2-r0.apk
35.17KB
2024-10-25 22:07:25
imapfilter-doc-2.8.2-r0.apk
12.81KB
2024-10-25 22:07:25
imediff-2.6-r1.apk
41.58KB
2024-10-25 22:07:25
imediff-doc-2.6-r1.apk
6.53KB
2024-10-25 22:07:25
imediff-pyc-2.6-r1.apk
43.66KB
2024-10-25 22:07:25
imgdiff-1.0.2-r29.apk
0.99MB
2025-09-09 16:17:29
imgdiff-doc-1.0.2-r29.apk
2.26KB
2025-09-09 16:17:29
imrsh-0_git20210320-r1.apk
7.62KB
2024-10-25 22:07:25
imrsh-dbg-0_git20210320-r1.apk
19.58KB
2024-10-25 22:07:25
incus-ui-canonical-0.18.0-r0.apk
4.65MB
2025-08-22 15:19:33
infnoise-0.3.3-r0.apk
14.55KB
2025-05-26 11:58:44
infnoise-doc-0.3.3-r0.apk
4.15KB
2025-05-26 11:58:44
infnoise-openrc-0.3.3-r0.apk
1.73KB
2025-05-26 11:58:44
initify-0_git20171210-r1.apk
3.28KB
2024-10-25 22:07:25
innernet-1.6.1-r0.apk
2.48MB
2024-10-25 22:07:25
innernet-bash-completion-1.6.1-r0.apk
3.80KB
2024-10-25 22:07:25
innernet-doc-1.6.1-r0.apk
9.01KB
2024-10-25 22:07:25
innernet-fish-completion-1.6.1-r0.apk
4.51KB
2024-10-25 22:07:25
innernet-openrc-1.6.1-r0.apk
2.27KB
2024-10-25 22:07:25
innernet-zsh-completion-1.6.1-r0.apk
5.43KB
2024-10-25 22:07:25
interception-tools-0.6.8-r2.apk
97.13KB
2024-10-25 22:07:25
interception-tools-openrc-0.6.8-r2.apk
1.69KB
2024-10-25 22:07:25
invidtui-0.4.6-r8.apk
4.03MB
2025-09-09 16:17:29
ip2location-8.6.1-r0.apk
20.81KB
2024-10-25 22:07:25
ip2location-dev-8.6.1-r0.apk
11.35KB
2024-10-25 22:07:25
ip2location-doc-8.6.1-r0.apk
2.71KB
2024-10-25 22:07:25
ipp-usb-0.9.30-r3.apk
2.50MB
2025-09-09 16:17:29
ipp-usb-doc-0.9.30-r3.apk
8.82KB
2025-09-09 16:17:29
ipp-usb-openrc-0.9.30-r3.apk
1.73KB
2025-09-09 16:17:29
iprange-1.0.4-r1.apk
20.23KB
2024-10-25 22:07:25
iprange-doc-1.0.4-r1.apk
4.54KB
2024-10-25 22:07:25
irccd-4.0.3-r0.apk
222.83KB
2024-10-25 22:07:25
irccd-dev-4.0.3-r0.apk
9.60KB
2024-10-25 22:07:25
irccd-doc-4.0.3-r0.apk
80.35KB
2024-10-25 22:07:25
irccd-openrc-4.0.3-r0.apk
1.80KB
2024-10-25 22:07:25
ircd-hybrid-8.2.47-r0.apk
309.00KB
2025-04-05 07:01:25
ircd-hybrid-doc-8.2.47-r0.apk
3.61KB
2025-04-05 07:01:25
ircdog-0.5.4-r8.apk
2.46MB
2025-09-09 16:17:29
isoinfo-0_git20131217-r1.apk
6.12KB
2024-10-25 22:07:25
isomd5sum-1.2.5-r0.apk
18.16KB
2025-07-15 13:28:49
isomd5sum-doc-1.2.5-r0.apk
2.98KB
2025-07-15 13:28:49
it87-src-1_p20240609-r0.apk
29.69KB
2024-10-25 22:07:25
jack_capture-0.9.73_git20210429-r2.apk
29.52KB
2024-10-25 22:07:26
jackal-0.64.0-r18.apk
11.71MB
2025-09-09 16:17:29
jackal-openrc-0.64.0-r18.apk
1.83KB
2025-09-09 16:17:29
jackdaw-0.3.1-r2.apk
1.98MB
2025-05-29 14:58:13
jackdaw-pyc-0.3.1-r2.apk
364.16KB
2025-05-29 14:58:13
jackline-0.1.0-r3.apk
3.88MB
2024-10-25 22:07:26
jalv-1.6.8-r1.apk
43.11KB
2024-10-25 22:07:26
jalv-doc-1.6.8-r1.apk
3.16KB
2024-10-25 22:07:26
jalv-gtk-1.6.8-r1.apk
29.85KB
2024-10-25 22:07:26
jaq-2.3.0-r0.apk
684.30KB
2025-07-24 16:42:48
jaq-doc-2.3.0-r0.apk
2.24KB
2025-07-24 16:42:48
java-gdcm-3.2.1-r0.apk
581.95KB
2025-09-23 01:57:07
java-jtharness-6.0_p12-r0.apk
4.02MB
2024-10-25 22:07:26
java-jtharness-doc-6.0_p12-r0.apk
11.56KB
2024-10-25 22:07:26
java-jtharness-examples-6.0_p12-r0.apk
218.88KB
2024-10-25 22:07:26
jbigkit-2.1-r2.apk
62.22KB
2024-10-25 22:07:26
jbigkit-dev-2.1-r2.apk
27.97KB
2024-10-25 22:07:26
jbigkit-doc-2.1-r2.apk
7.34KB
2024-10-25 22:07:26
jdebp-redo-1.4-r1.apk
82.36KB
2024-10-25 22:07:26
jdebp-redo-doc-1.4-r1.apk
12.12KB
2024-10-25 22:07:26
jdupes-1.28.0-r0.apk
26.86KB
2024-10-25 22:07:26
jdupes-doc-1.28.0-r0.apk
8.99KB
2024-10-25 22:07:26
jedi-language-server-0.45.1-r0.apk
31.75KB
2025-05-11 14:57:47
jedi-language-server-pyc-0.45.1-r0.apk
49.74KB
2025-05-11 14:57:47
jfrog-cli-2.45.0-r15.apk
8.97MB
2025-09-09 16:17:29
jhead-3.08-r0.apk
30.84KB
2024-10-25 22:07:26
jhead-doc-3.08-r0.apk
7.88KB
2024-10-25 22:07:26
jotdown-0.7.0-r0.apk
198.65KB
2025-03-11 22:12:03
jrsonnet-cli-0.4.2-r1.apk
501.55KB
2024-10-25 22:07:26
jsmn-1.1.0-r2.apk
4.70KB
2024-10-25 22:07:26
json2tsv-1.2-r0.apk
6.18KB
2024-10-25 22:07:26
json2tsv-doc-1.2-r0.apk
5.24KB
2024-10-25 22:07:26
json2tsv-jaq-1.2-r0.apk
1.91KB
2024-10-25 22:07:26
json2tsv-jaq-doc-1.2-r0.apk
2.33KB
2024-10-25 22:07:26
jsonnet-bundler-0.6.0-r8.apk
3.24MB
2025-09-09 16:17:29
jsonnet-language-server-0.15.0-r7.apk
4.16MB
2025-09-09 16:17:29
junit2html-31.0.2-r0.apk
16.73KB
2024-10-25 22:07:27
junit2html-pyc-31.0.2-r0.apk
23.62KB
2024-10-25 22:07:27
jwt-cli-6.2.0-r0.apk
751.36KB
2024-12-14 20:18:18
k2-0_git20220807-r1.apk
98.26KB
2024-10-25 22:07:27
k3sup-0.13.6-r8.apk
2.69MB
2025-09-09 16:17:29
k3sup-bash-completion-0.13.6-r8.apk
5.01KB
2025-09-09 16:17:29
k3sup-fish-completion-0.13.6-r8.apk
4.27KB
2025-09-09 16:17:29
k3sup-zsh-completion-0.13.6-r8.apk
4.00KB
2025-09-09 16:17:29
kabmat-2.7.0-r0.apk
50.36KB
2024-10-25 22:07:27
kabmat-doc-2.7.0-r0.apk
3.54KB
2024-10-25 22:07:27
kannel-1.5.0-r11.apk
5.64MB
2024-10-25 22:07:28
kannel-dev-1.5.0-r11.apk
881.76KB
2024-10-25 22:07:28
kannel-doc-1.5.0-r11.apk
6.20KB
2024-10-25 22:07:28
kapow-0.7.1-r16.apk
3.57MB
2025-09-09 16:17:29
katana-1.2.2-r1.apk
13.73MB
2025-09-09 16:17:29
katana-doc-1.2.2-r1.apk
2.26KB
2025-09-09 16:17:29
katarakt-0.2-r1.apk
74.15KB
2025-01-29 21:44:57
kbs2-0.7.3-r0.apk
1.08MB
2025-07-30 10:28:52
kbs2-bash-completion-0.7.3-r0.apk
3.23KB
2025-07-30 10:28:52
kbs2-fish-completion-0.7.3-r0.apk
3.44KB
2025-07-30 10:28:52
kbs2-zsh-completion-0.7.3-r0.apk
4.05KB
2025-07-30 10:28:52
kcbench-0.9.12-r1.apk
37.17KB
2025-08-09 03:22:03
kcbench-doc-0.9.12-r1.apk
20.26KB
2025-08-09 03:22:03
kdiskmark-3.2.0-r0.apk
162.50KB
2025-07-01 21:10:43
kdiskmark-lang-3.2.0-r0.apk
31.83KB
2025-07-01 21:10:43
kerberoast-0.2.0-r2.apk
9.33KB
2025-05-29 14:58:13
kerberoast-pyc-0.2.0-r2.apk
15.06KB
2025-05-29 14:58:13
kew-3.4.1-r0.apk
437.91KB
2025-09-09 16:17:29
kew-doc-3.4.1-r0.apk
3.54KB
2025-09-09 16:17:29
keybase-client-6.2.8-r13.apk
17.52MB
2025-09-09 16:17:29
keystone-0.9.2-r6.apk
1.34MB
2024-10-25 22:07:29
keystone-dev-0.9.2-r6.apk
7.30KB
2024-10-25 22:07:29
keystone-python-0.9.2-r6.apk
1.50MB
2024-10-25 22:07:29
keystone-python-pyc-0.9.2-r6.apk
9.67KB
2024-10-25 22:07:29
kfc-0.1.4-r0.apk
57.57KB
2024-10-25 22:07:29
kgraphviewer-2.5.0-r0.apk
1.23MB
2024-10-25 22:07:29
kgraphviewer-dev-2.5.0-r0.apk
6.29KB
2024-10-25 22:07:29
kgraphviewer-lang-2.5.0-r0.apk
230.43KB
2024-10-25 22:07:29
khinsider-2.0.7-r23.apk
3.44MB
2025-09-09 16:17:29
khronos-4.0.1-r0.apk
46.76KB
2024-10-25 22:07:29
khronos-lang-4.0.1-r0.apk
25.71KB
2024-10-25 22:07:29
kimchi-3.0.0-r8.apk
528.90KB
2025-02-10 23:12:02
kimchi-lang-3.0.0-r8.apk
171.90KB
2025-02-10 23:12:02
kimchi-pyc-3.0.0-r8.apk
476.08KB
2025-02-10 23:12:02
kine-0.10.1-r16.apk
7.74MB
2025-09-09 16:17:29
kine-doc-0.10.1-r16.apk
5.15KB
2025-09-09 16:17:29
kirc-0.3.3-r0.apk
14.26KB
2025-02-22 14:34:49
kirc-doc-0.3.3-r0.apk
2.78KB
2025-02-22 14:34:49
kismet-0.202307.2-r8.apk
11.48MB
2025-09-09 16:17:30
kismet-linux-bluetooth-0.202307.2-r8.apk
40.12KB
2025-09-09 16:17:30
kismet-linux-wifi-0.202307.2-r8.apk
57.75KB
2025-09-09 16:17:30
kismet-logtools-0.202307.2-r8.apk
1.01MB
2025-09-09 16:17:30
kismet-nrf-51822-0.202307.2-r8.apk
37.00KB
2025-09-09 16:17:30
kismet-nxp-kw41z-0.202307.2-r8.apk
38.61KB
2025-09-09 16:17:30
kjv-0_git20221103-r0.apk
1.54MB
2024-10-25 22:07:29
klevernotes-1.1.0-r0.apk
2.13MB
2024-10-25 22:07:29
klevernotes-lang-1.1.0-r0.apk
142.07KB
2024-10-25 22:07:29
klong-20221212-r0.apk
326.43KB
2025-06-07 18:16:55
kmscon-9.1.0-r0.apk
825.39KB
2025-07-26 02:41:17
kmscon-doc-9.1.0-r0.apk
8.40KB
2025-07-26 02:41:17
knative-client-1.18.0-r3.apk
23.45MB
2025-09-09 16:17:30
knative-client-bash-completion-1.18.0-r3.apk
10.15KB
2025-09-09 16:17:30
knative-client-fish-completion-1.18.0-r3.apk
4.30KB
2025-09-09 16:17:30
knative-client-zsh-completion-1.18.0-r3.apk
4.04KB
2025-09-09 16:17:30
knxd-0.14.61-r1.apk
350.11KB
2024-12-14 21:23:33
knxd-dev-0.14.61-r1.apk
22.50KB
2024-12-14 21:23:33
ko-0.17.1-r8.apk
10.09MB
2025-09-09 16:17:30
ko-bash-completion-0.17.1-r8.apk
5.04KB
2025-09-09 16:17:30
ko-fish-completion-0.17.1-r8.apk
4.29KB
2025-09-09 16:17:30
ko-zsh-completion-0.17.1-r8.apk
4.02KB
2025-09-09 16:17:30
kodaskanna-0.2.2-r0.apk
45.67KB
2025-01-25 09:04:05
kodaskanna-lang-0.2.2-r0.apk
23.20KB
2025-01-25 09:04:05
kodi-audioencoder-flac-20.2.0-r1.apk
42.00KB
2024-10-25 22:07:30
kodi-audioencoder-lame-20.3.0-r1.apk
91.05KB
2024-10-25 22:07:30
kodi-audioencoder-vorbis-20.2.0-r1.apk
33.59KB
2024-10-25 22:07:30
kodi-audioencoder-wav-20.2.0-r1.apk
26.03KB
2024-10-25 22:07:30
kodi-game-libretro-20.1.0-r0.apk
108.52KB
2024-10-25 22:07:30
kodi-game-libretro-atari800-3.1.0.28-r0.apk
240.70KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
15.42KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
14.78KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
16.72KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
20.91KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
53.81KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
64.01KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
19.70KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
19.84KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
16.41KB
2024-10-25 22:07:30
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
17.48KB
2024-10-25 22:07:30
kodi-game-libretro-desmume-0.0.1.28-r0.apk
63.57KB
2024-10-25 22:07:30
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
73.77KB
2024-10-25 22:07:30
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
180.03KB
2024-10-25 22:07:30
kodi-game-libretro-frodo-0.0.1.25-r0.apk
160.43KB
2024-10-25 22:07:30
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
326.38KB
2024-10-25 22:07:30
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
1.33MB
2024-10-25 22:07:30
kodi-game-libretro-mgba-0.11.0.44-r0.apk
19.42KB
2024-10-25 22:07:30
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
22.71KB
2024-10-25 22:07:30
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
25.96KB
2024-10-25 22:07:30
kodi-game-libretro-theodore-0.0.1.32-r0.apk
16.02KB
2024-10-25 22:07:30
kodi-inputstream-adaptive-21.5.9-r0.apk
0.97MB
2025-03-02 18:17:22
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
330.73KB
2025-03-02 18:17:22
kodi-inputstream-rtmp-21.1.2-r0.apk
84.17KB
2025-03-02 18:17:22
kodi-peripheral-joystick-20.1.9-r0.apk
197.50KB
2024-10-25 22:07:30
kodi-pvr-hts-21.2.6-r0.apk
277.20KB
2025-03-02 18:17:22
kodi-pvr-iptvsimple-21.10.2-r0.apk
902.64KB
2025-03-02 18:17:22
kodi-vfs-libarchive-21.0.2-r0.apk
103.65KB
2025-03-02 18:17:22
kodi-vfs-rar-20.1.0-r1.apk
383.72KB
2024-10-25 22:07:30
kodi-vfs-sacd-20.1.0-r1.apk
86.40KB
2024-10-25 22:07:30
kodi-vfs-sftp-20.2.0-r1.apk
50.82KB
2024-10-25 22:07:30
komikku-1.85.0-r0.apk
444.10KB
2025-08-22 11:07:18
komikku-lang-1.85.0-r0.apk
284.29KB
2025-08-22 11:07:18
komikku-pyc-1.85.0-r0.apk
797.61KB
2025-08-22 11:07:18
kompose-1.31.2-r13.apk
7.21MB
2025-09-09 16:17:30
kompose-bash-completion-1.31.2-r13.apk
5.59KB
2025-09-09 16:17:30
kompose-fish-completion-1.31.2-r13.apk
4.37KB
2025-09-09 16:17:30
kompose-zsh-completion-1.31.2-r13.apk
6.78KB
2025-09-09 16:17:30
kondo-0.8-r0.apk
596.11KB
2024-10-25 22:07:30
kondo-bash-completion-0.8-r0.apk
2.08KB
2024-10-25 22:07:30
kondo-fish-completion-0.8-r0.apk
2.07KB
2024-10-25 22:07:30
kondo-zsh-completion-0.8-r0.apk
2.44KB
2024-10-25 22:07:30
kontainer-1.0.1-r0.apk
186.35KB
2025-06-20 07:10:10
krdp-6.4.5-r0.apk
165.46KB
2025-09-14 01:45:13
krdp-lang-6.4.5-r0.apk
54.64KB
2025-09-14 01:45:13
kronosnet-1.30-r0.apk
71.67KB
2025-05-28 02:07:45
kronosnet-dev-1.30-r0.apk
2.44MB
2025-05-28 02:07:45
kronosnet-doc-1.30-r0.apk
105.73KB
2025-05-28 02:07:45
ktx-4.3.2-r1.apk
1.05MB
2025-06-10 05:49:42
ktx-dev-4.3.2-r1.apk
28.91KB
2025-06-10 05:49:42
ktx-libs-4.3.2-r1.apk
1.22MB
2025-06-10 05:49:42
kube-no-trouble-0.7.3-r8.apk
13.47MB
2025-09-09 16:17:30
kubeconform-0.7.0-r1.apk
3.62MB
2025-09-09 16:17:30
kubectl-krew-0.4.5-r5.apk
4.58MB
2025-09-09 16:17:30
kubectl-oidc_login-1.34.0-r2.apk
5.46MB
2025-09-09 16:17:30
kubeone-1.10.0-r3.apk
26.18MB
2025-09-09 16:17:30
kubeone-bash-completion-1.10.0-r3.apk
6.68KB
2025-09-09 16:17:30
kubeone-doc-1.10.0-r3.apk
20.20KB
2025-09-09 16:17:30
kubeone-zsh-completion-1.10.0-r3.apk
4.04KB
2025-09-09 16:17:30
kubepug-1.7.1-r13.apk
16.24MB
2025-09-09 16:17:31
kubepug-bash-completion-1.7.1-r13.apk
5.12KB
2025-09-09 16:17:31
kubepug-fish-completion-1.7.1-r13.apk
4.33KB
2025-09-09 16:17:31
kubepug-zsh-completion-1.7.1-r13.apk
4.05KB
2025-09-09 16:17:31
kubeseal-0.32.1-r0.apk
11.49MB
2025-09-13 17:32:49
kubeseal-doc-0.32.1-r0.apk
5.52KB
2025-09-13 17:32:49
kubesplit-0.3.3-r1.apk
12.67KB
2024-10-25 22:07:32
kubesplit-pyc-0.3.3-r1.apk
12.96KB
2024-10-25 22:07:32
lavacli-2.4-r0.apk
49.32KB
2025-06-15 07:00:11
lavacli-doc-2.4-r0.apk
34.16KB
2025-06-15 07:00:11
lavacli-pyc-2.4-r0.apk
88.17KB
2025-06-15 07:00:11
laze-0.1.38-r0.apk
0.96MB
2025-07-24 16:42:48
laze-bash-completion-0.1.38-r0.apk
3.14KB
2025-07-24 16:42:48
laze-doc-0.1.38-r0.apk
3.60KB
2025-07-24 16:42:48
laze-fish-completion-0.1.38-r0.apk
3.44KB
2025-07-24 16:42:48
laze-zsh-completion-0.1.38-r0.apk
3.68KB
2025-07-24 16:42:48
lbb-0.9.1-r6.apk
3.13MB
2025-09-09 16:17:31
lbb-doc-0.9.1-r6.apk
18.58KB
2025-09-09 16:17:31
lcalc-2.1.0-r0.apk
164.50KB
2025-05-11 14:57:48
lcalc-dev-2.1.0-r0.apk
51.36KB
2025-05-11 14:57:48
lcalc-doc-2.1.0-r0.apk
436.77KB
2025-05-11 14:57:48
lcalc-libs-2.1.0-r0.apk
232.33KB
2025-05-11 14:57:48
ldapdomaindump-0.10.0-r0.apk
18.24KB
2025-07-30 22:18:50
ldapdomaindump-pyc-0.10.0-r0.apk
30.36KB
2025-07-30 22:18:50
ledmon-1.0.0-r0.apk
68.89KB
2024-10-25 22:07:32
ledmon-doc-1.0.0-r0.apk
14.07KB
2024-10-25 22:07:32
lefthook-1.11.14-r3.apk
5.27MB
2025-09-09 16:17:31
lefthook-doc-1.11.14-r3.apk
2.24KB
2025-09-09 16:17:31
legume-1.4.2-r12.apk
1.45MB
2025-09-09 16:17:31
legume-doc-1.4.2-r12.apk
12.32KB
2025-09-09 16:17:31
leptosfmt-0.1.33-r0.apk
903.86KB
2025-03-25 17:39:33
leptosfmt-doc-0.1.33-r0.apk
6.04KB
2025-03-25 17:39:33
levmar-dev-2.6-r0.apk
42.65KB
2024-10-25 22:07:32
lfm-3.1-r4.apk
88.12KB
2024-10-25 22:07:32
lfm-doc-3.1-r4.apk
2.76KB
2024-10-25 22:07:32
lfm-pyc-3.1-r4.apk
133.72KB
2024-10-25 22:07:32
lgogdownloader-3.16-r1.apk
329.45KB
2025-03-25 17:39:33
lgogdownloader-doc-3.16-r1.apk
8.51KB
2025-03-25 17:39:33
libabigail-2.3-r0.apk
716.80KB
2024-10-25 22:07:32
libabigail-bash-completion-2.3-r0.apk
2.97KB
2024-10-25 22:07:32
libabigail-dev-2.3-r0.apk
1.28MB
2024-10-25 22:07:32
libabigail-doc-2.3-r0.apk
61.24KB
2024-10-25 22:07:32
libabigail-tools-2.3-r0.apk
90.50KB
2024-10-25 22:07:32
libandroidfw-0_git20250903-r0.apk
359.18KB
2025-09-22 17:14:58
libandroidfw-dev-0_git20250903-r0.apk
7.15KB
2025-09-22 17:14:58
libantlr3c-3.4-r3.apk
34.53KB
2024-10-25 22:07:32
libantlr3c-dev-3.4-r3.apk
58.38KB
2024-10-25 22:07:32
libantlr4-4.13.2-r0.apk
367.99KB
2024-12-12 14:29:17
libantlr4-dev-4.13.2-r0.apk
818.19KB
2024-12-12 14:29:17
libaudec-0.3.4-r3.apk
26.26KB
2024-10-25 22:07:32
libaudec-dev-0.3.4-r3.apk
4.26KB
2024-10-25 22:07:32
libaudec-static-0.3.4-r3.apk
27.56KB
2024-10-25 22:07:32
libaudec-tools-0.3.4-r3.apk
26.39KB
2024-10-25 22:07:32
libb64-2.0.0.1-r0.apk
4.34KB
2024-10-25 22:07:32
libb64-dev-2.0.0.1-r0.apk
5.31KB
2024-10-25 22:07:32
libb64-doc-2.0.0.1-r0.apk
8.03KB
2024-10-25 22:07:32
libbamf-0.5.6-r1.apk
126.26KB
2024-10-25 22:07:32
libbamf-dev-0.5.6-r1.apk
6.39KB
2024-10-25 22:07:32
libbamf-doc-0.5.6-r1.apk
31.05KB
2024-10-25 22:07:32
libblastrampoline-5.2.0-r0.apk
281.85KB
2024-10-25 22:07:32
libblastrampoline-dev-5.2.0-r0.apk
94.38KB
2024-10-25 22:07:32
libbraiding-1.3.1-r0.apk
73.67KB
2024-11-20 04:43:39
libbraiding-dev-1.3.1-r0.apk
15.07KB
2024-11-20 04:43:39
libbsoncxx-3.8.0-r0.apk
40.32KB
2024-10-25 22:07:32
libbsoncxx-dev-3.8.0-r0.apk
38.66KB
2024-10-25 22:07:32
libcec-rpi-6.0.2-r4.apk
159.25KB
2024-10-25 22:07:32
libcec-rpi-dev-6.0.2-r4.apk
24.59KB
2024-10-25 22:07:32
libcli-1.10.7-r0.apk
24.35KB
2024-10-25 22:07:32
libcob4-3.2-r0.apk
187.39KB
2025-07-28 17:02:39
libcork-0.15.0-r7.apk
27.00KB
2024-10-25 22:07:32
libcork-dev-0.15.0-r7.apk
29.97KB
2024-10-25 22:07:32
libcork-tools-0.15.0-r7.apk
4.40KB
2024-10-25 22:07:32
libcorkipset-1.1.1-r4.apk
10.47KB
2024-10-25 22:07:32
libcorkipset-dev-1.1.1-r4.apk
8.08KB
2024-10-25 22:07:32
libcorkipset-tools-1.1.1-r4.apk
11.26KB
2024-10-25 22:07:32
libcotp-3.1.0-r0.apk
7.49KB
2024-10-25 22:07:32
libcotp-dev-3.1.0-r0.apk
2.47KB
2024-10-25 22:07:32
libcpdf-2.8.1-r0.apk
2.25MB
2025-05-11 14:57:48
libcpdf-dev-2.8.1-r0.apk
16.75KB
2025-05-11 14:57:48
libcpdf-static-2.8.1-r0.apk
3.23MB
2025-05-11 14:57:48
libctl-4.5.1-r1.apk
84.20KB
2024-10-25 22:07:32
libctl-dev-4.5.1-r1.apk
38.55KB
2024-10-25 22:07:32
libctl-doc-4.5.1-r1.apk
3.02KB
2024-10-25 22:07:32
libcyaml-1.4.2-r0.apk
17.21KB
2024-10-25 22:07:32
libcyaml-dev-1.4.2-r0.apk
12.85KB
2024-10-25 22:07:32
libcyaml-doc-1.4.2-r0.apk
8.64KB
2024-10-25 22:07:32
libcyaml-static-1.4.2-r0.apk
19.96KB
2024-10-25 22:07:32
libdbusaccess-1.0.20-r1.apk
13.96KB
2025-08-09 03:22:03
libdbusaccess-dev-1.0.20-r1.apk
5.00KB
2025-08-09 03:22:03
libdcmtk-3.6.9-r0.apk
6.13MB
2025-01-25 09:04:08
libdng-0.2.1-r0.apk
10.09KB
2024-12-28 00:09:47
libdng-dev-0.2.1-r0.apk
3.20KB
2024-12-28 00:09:47
libdng-doc-0.2.1-r0.apk
4.20KB
2024-12-28 00:09:47
libdng-utils-0.2.1-r0.apk
5.67KB
2024-12-28 00:09:47
libeantic-2.1.0-r1.apk
70.70KB
2025-06-13 07:56:04
libeantic-dev-2.1.0-r1.apk
17.50KB
2025-06-13 07:56:04
libecap-1.0.1-r1.apk
12.78KB
2024-10-25 22:07:33
libecap-dev-1.0.1-r1.apk
11.43KB
2024-10-25 22:07:33
libecap-static-1.0.1-r1.apk
18.10KB
2024-10-25 22:07:33
libemf2svg-1.1.0-r2.apk
130.80KB
2024-10-25 22:07:33
libemf2svg-utils-1.1.0-r2.apk
16.29KB
2024-10-25 22:07:33
liberasurecode-1.6.3-r1.apk
32.71KB
2024-10-25 22:07:33
liberasurecode-dev-1.6.3-r1.apk
18.27KB
2024-10-25 22:07:33
libettercap-0.8.3.1-r3.apk
177.68KB
2024-10-25 22:07:33
libfishsound-1.0.0-r1.apk
7.24KB
2024-10-25 22:07:33
libfishsound-dev-1.0.0-r1.apk
53.10KB
2024-10-25 22:07:33
libfishsound-doc-1.0.0-r1.apk
75.32KB
2024-10-25 22:07:33
libfoma-0.10.0_git20240712-r0.apk
88.56KB
2024-10-25 22:07:33
libfort-0.4.2-r0.apk
26.16KB
2024-10-25 22:07:33
libfort-dev-0.4.2-r0.apk
17.43KB
2024-10-25 22:07:33
libfyaml-0.9-r0.apk
228.47KB
2024-10-25 22:07:33
libfyaml-dev-0.9-r0.apk
42.33KB
2024-10-25 22:07:33
libfyaml-doc-0.9-r0.apk
7.39KB
2024-10-25 22:07:33
libgdcm-3.2.1-r0.apk
2.62MB
2025-09-23 01:57:07
libgivaro-4.2.0-r2.apk
71.44KB
2024-10-25 22:07:33
libgivaro-dev-4.2.0-r2.apk
244.42KB
2024-10-25 22:07:33
libgivaro-static-4.2.0-r2.apk
90.53KB
2024-10-25 22:07:33
libglib-testing-0.1.1-r0.apk
11.17KB
2025-05-11 14:57:48
libglib-testing-dev-0.1.1-r0.apk
5.51KB
2025-05-11 14:57:48
libglib-testing-doc-0.1.1-r0.apk
25.02KB
2025-05-11 14:57:48
libgrapheme-2.0.2-r0.apk
22.18KB
2025-07-24 16:42:49
libgrapheme-dev-2.0.2-r0.apk
29.45KB
2025-07-24 16:42:49
libgrapheme-doc-2.0.2-r0.apk
20.66KB
2025-07-24 16:42:49
libguestfs-1.56.1-r0.apk
323.70KB
2025-07-24 16:42:49
libguestfs-dev-1.56.1-r0.apk
29.42KB
2025-07-24 16:42:49
libguestfs-doc-1.56.1-r0.apk
568.56KB
2025-07-24 16:42:49
libguestfs-static-1.56.1-r0.apk
455.38KB
2025-07-24 16:42:49
libhomfly-1.02_p6-r1.apk
12.61KB
2024-10-25 22:07:33
libhomfly-dev-1.02_p6-r1.apk
15.26KB
2024-10-25 22:07:33
libhwpwm-0.4.4-r0.apk
5.79KB
2024-10-25 22:07:33
libhwpwm-dev-0.4.4-r0.apk
5.18KB
2024-10-25 22:07:33
libhwpwm-doc-0.4.4-r0.apk
13.02KB
2024-10-25 22:07:33
libideviceactivation-1.1.1-r5.apk
15.06KB
2024-10-31 00:44:42
libideviceactivation-dev-1.1.1-r5.apk
3.31KB
2024-10-31 00:44:42
libideviceactivation-doc-1.1.1-r5.apk
2.18KB
2024-10-31 00:44:42
libigraph-0.10.16-r0.apk
1.01MB
2025-06-12 02:26:47
libigraph-dev-0.10.16-r0.apk
90.79KB
2025-06-12 02:26:47
libiio-0.25-r2.apk
43.17KB
2024-10-25 22:07:33
libiio-dev-0.25-r2.apk
13.35KB
2024-10-25 22:07:33
libiio-doc-0.25-r2.apk
17.86KB
2024-10-25 22:07:33
libiio-pyc-0.25-r2.apk
20.95KB
2024-10-25 22:07:33
libiio-tools-0.25-r2.apk
66.11KB
2024-10-25 22:07:33
libiml-1.0.5-r3.apk
57.50KB
2024-10-25 22:07:33
libiml-dev-1.0.5-r3.apk
3.92KB
2024-10-25 22:07:33
libiml-static-1.0.5-r3.apk
57.14KB
2024-10-25 22:07:33
libinfnoise-0.3.3-r0.apk
13.45KB
2025-05-26 11:58:44
libirecovery-1.2.1-r0.apk
23.06KB
2024-10-31 00:44:42
libirecovery-dev-1.2.1-r0.apk
4.08KB
2024-10-31 00:44:42
libirecovery-progs-1.2.1-r0.apk
7.76KB
2024-10-31 00:44:42
libiscsi-1.19.0-r2.apk
51.98KB
2024-10-25 22:07:33
libiscsi-dev-1.19.0-r2.apk
20.41KB
2024-10-25 22:07:33
libiscsi-doc-1.19.0-r2.apk
9.28KB
2024-10-25 22:07:33
libiscsi-static-1.19.0-r2.apk
65.10KB
2024-10-25 22:07:33
libiscsi-utils-1.19.0-r2.apk
75.96KB
2024-10-25 22:07:33
libjodycode-3.1.1-r0.apk
6.96KB
2024-10-25 22:07:33
libjodycode-dev-3.1.1-r0.apk
4.21KB
2024-10-25 22:07:33
libjodycode-doc-3.1.1-r0.apk
3.67KB
2024-10-25 22:07:33
liblastfm-qt-1.1.10_git20190823-r3.apk
144.84KB
2024-10-25 22:07:33
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
19.62KB
2024-10-25 22:07:33
libm4ri-20240729-r2.apk
119.63KB
2025-01-15 20:06:51
libm4ri-dev-20240729-r2.apk
31.51KB
2025-01-15 20:06:51
libm4ri-static-20240729-r2.apk
127.68KB
2025-01-15 20:06:51
libm4rie-20200125-r5.apk
206.18KB
2025-01-15 20:06:51
libm4rie-dev-20200125-r5.apk
24.40KB
2025-01-15 20:06:51
libm4rie-static-20200125-r5.apk
221.66KB
2025-01-15 20:06:51
libmdbx-0.11.8-r0.apk
639.70KB
2024-10-25 22:07:33
libmdbx-dbg-0.11.8-r0.apk
2.34MB
2024-10-25 22:07:33
libmdbx-dev-0.11.8-r0.apk
93.19KB
2024-10-25 22:07:33
libmdbx-doc-0.11.8-r0.apk
8.85KB
2024-10-25 22:07:33
libmdf-1.0.29-r0.apk
31.40KB
2024-10-25 22:07:33
libmdf-dev-1.0.29-r0.apk
13.82KB
2024-10-25 22:07:33
libmhash-0.9.9.9-r3.apk
97.29KB
2024-10-25 22:07:34
libmhash-dev-0.9.9.9-r3.apk
109.77KB
2024-10-25 22:07:34
libmhash-doc-0.9.9.9-r3.apk
8.15KB
2024-10-25 22:07:34
libmpfi-1.5.4-r2.apk
27.14KB
2024-10-25 22:07:34
libmpfi-dev-1.5.4-r2.apk
5.36KB
2024-10-25 22:07:34
libmpfi-doc-1.5.4-r2.apk
18.72KB
2024-10-25 22:07:34
libmpfi-static-1.5.4-r2.apk
40.00KB
2024-10-25 22:07:34
libmrss-0.19.2-r1.apk
16.84KB
2024-10-25 22:07:34
libmrss-dev-0.19.2-r1.apk
27.85KB
2024-10-25 22:07:34
libmustache-0.5.0-r1.apk
76.44KB
2024-10-25 22:07:34
libmygpo-qt-1.1.0-r2.apk
65.94KB
2024-10-25 22:07:34
libmygpo-qt-dev-1.1.0-r2.apk
11.85KB
2024-10-25 22:07:34
libmysofa-1.3.2-r0.apk
22.77KB
2024-10-25 22:07:34
libmysofa-dev-1.3.2-r0.apk
6.99KB
2024-10-25 22:07:34
libmysofa-tools-1.3.2-r0.apk
1.07MB
2024-10-25 22:07:34
libnest2d-0.4-r7.apk
1.21KB
2025-02-06 06:42:24
libnest2d-dev-0.4-r7.apk
69.87KB
2025-02-06 06:42:24
libnfcdef-1.0.1-r1.apk
10.68KB
2025-08-09 03:22:03
libnfcdef-dev-1.0.1-r1.apk
5.73KB
2025-08-09 03:22:03
libnih-1.0.3-r7.apk
109.43KB
2024-10-25 22:07:34
libnih-dev-1.0.3-r7.apk
111.83KB
2024-10-25 22:07:34
libnih-doc-1.0.3-r7.apk
2.71KB
2024-10-25 22:07:34
libntl-11.5.1-r4.apk
960.67KB
2024-10-25 22:07:34
libntl-dev-11.5.1-r4.apk
158.68KB
2024-10-25 22:07:34
libntl-doc-11.5.1-r4.apk
373.57KB
2024-10-25 22:07:34
libntl-static-11.5.1-r4.apk
1.45MB
2024-10-25 22:07:34
libnxml-0.18.3-r0.apk
17.37KB
2024-10-25 22:07:34
libnxml-dev-0.18.3-r0.apk
26.23KB
2024-10-25 22:07:34
libofx-0.10.9-r1.apk
59.41KB
2024-10-25 22:07:34
libofx-dev-0.10.9-r1.apk
19.57KB
2024-10-25 22:07:34
libofx-tools-0.10.9-r1.apk
101.27KB
2024-10-25 22:07:34
liboggz-1.1.1-r2.apk
110.59KB
2024-10-25 22:07:34
liboggz-dev-1.1.1-r2.apk
154.40KB
2024-10-25 22:07:34
liboggz-doc-1.1.1-r2.apk
134.23KB
2024-10-25 22:07:34
libopensles-standalone-0_git20250913-r0.apk
33.61KB
2025-09-22 17:14:58
libopensles-standalone-dbg-0_git20250913-r0.apk
243.46KB
2025-09-22 17:14:58
libopensles-standalone-dev-0_git20250913-r0.apk
1.58KB
2025-09-22 17:14:58
libqd-2.3.24-r0.apk
141.59KB
2024-10-25 22:07:34
libqd-dev-2.3.24-r0.apk
57.74KB
2024-10-25 22:07:34
libqd-doc-2.3.24-r0.apk
182.44KB
2024-10-25 22:07:34
libqd-static-2.3.24-r0.apk
229.65KB
2024-10-25 22:07:34
libqofono-0.124-r0.apk
1.22KB
2025-01-10 17:33:43
libqofono-dev-0.124-r0.apk
42.09KB
2025-01-10 17:33:43
libqofono-qt5-0.124-r0.apk
262.74KB
2025-01-10 17:33:43
libqofono-qt6-0.124-r0.apk
355.83KB
2025-01-10 17:33:43
libqtdbusmock-0.9.1-r2.apk
61.66KB
2025-02-22 14:17:57
libqtdbustest-0.4.0-r0.apk
27.10KB
2025-09-09 16:17:31
libre-3.23.0-r0.apk
235.51KB
2025-06-27 10:13:10
libre-dev-3.23.0-r0.apk
404.27KB
2025-06-27 10:13:10
libreoffice-voikko-5.0_git20200127-r0.apk
45.37KB
2024-10-25 22:07:34
librespot-0.7.1-r0.apk
1.98MB
2025-09-09 16:17:31
librespot-openrc-0.7.1-r0.apk
1.87KB
2025-09-09 16:17:31
libresprite-1.2-r0.apk
14.49MB
2025-04-14 01:16:01
libresprite-doc-1.2-r0.apk
14.72KB
2025-04-14 01:16:01
libretro-atari800-0_git20240924-r0.apk
250.10KB
2024-10-25 22:07:34
libretro-beetle-pce-fast-0_git20220205-r0.apk
309.35KB
2024-10-25 22:07:34
libretro-beetle-pcfx-0_git20220409-r0.apk
260.69KB
2024-10-25 22:07:34
libretro-beetle-saturn-0_git20220417-r0.apk
1.26MB
2024-10-25 22:07:34
libretro-beetle-supergrafx-0_git20220218-r0.apk
317.62KB
2024-10-25 22:07:34
libretro-bluemsx-0_git20240808-r0.apk
560.87KB
2024-10-25 22:07:34
libretro-cannonball-0_git20220309-r6.apk
196.59KB
2024-10-25 22:07:34
libretro-cap32-0_git20220419-r0.apk
285.66KB
2024-10-25 22:07:34
libretro-crocods-0_git20210314-r1.apk
249.91KB
2024-10-25 22:07:34
libretro-daphne-0_git20210108-r2.apk
516.23KB
2024-10-25 22:07:34
libretro-dinothawr-0_git20220401-r0.apk
108.51KB
2024-10-25 22:07:34
libretro-fbneo-0_git20220416-r0.apk
9.15MB
2024-10-25 22:07:34
libretro-freeintv-0_git20220319-r0.apk
30.15KB
2024-10-25 22:07:34
libretro-frodo-0_git20221221-r0.apk
140.05KB
2024-10-25 22:07:34
libretro-fuse-0_git20220417-r0.apk
770.51KB
2024-10-25 22:07:34
libretro-genesis-plus-gx-0_git20230503-r0.apk
795.76KB
2024-10-25 22:07:34
libretro-gme-0_git20240628-r0.apk
160.29KB
2024-10-25 22:07:34
libretro-gong-0_git20220319-r0.apk
7.75KB
2024-10-25 22:07:34
libretro-gw-0_git20220410-r0.apk
150.35KB
2024-10-25 22:07:34
libretro-mame2000-0_git20240701-r0.apk
2.48MB
2024-10-25 22:07:34
libretro-mame2003-0_git20240904-r0.apk
6.38MB
2024-10-25 22:07:34
libretro-mu-0_git20220317-r0.apk
130.89KB
2024-10-25 22:07:34
libretro-neocd-0_git20220325-r1.apk
360.83KB
2024-10-25 22:07:34
libretro-nxengine-0_git20220301-r0.apk
249.16KB
2024-10-25 22:07:34
libretro-openlara-0_git20210121-r0.apk
419.74KB
2024-10-25 22:07:34
libretro-opera-0_git20211214-r0.apk
148.82KB
2024-10-25 22:07:34
libretro-parallel-n64-0_git20220406-r0.apk
805.11KB
2024-10-25 22:07:34
libretro-picodrive-0_git20220405-r0.apk
407.52KB
2024-10-25 22:07:34
libretro-pocketcdg-0_git20220327-r0.apk
78.84KB
2024-10-25 22:07:34
libretro-ppsspp-0_git20210516-r15.apk
2.16MB
2025-04-11 21:19:10
libretro-scummvm-0_git20210325-r0.apk
16.35MB
2024-10-25 22:07:35
libretro-snes9x-0_git20240819-r0.apk
635.11KB
2024-10-25 22:07:35
libretro-theodore-3.1-r0.apk
866.29KB
2024-10-25 22:07:35
libretro-tyrquake-0_git20220409-r0.apk
338.85KB
2024-10-25 22:07:35
libretro-xrick-0_git20220331-r0.apk
106.77KB
2024-10-25 22:07:35
libsbsms-2.3.0-r0.apk
91.34KB
2024-10-25 22:07:35
libsbsms-dev-2.3.0-r0.apk
122.03KB
2024-10-25 22:07:35
libsds-2.0.0-r1.apk
8.43KB
2024-10-25 22:07:35
libsds-dev-2.0.0-r1.apk
3.78KB
2024-10-25 22:07:35
libsemanage-3.6-r1.apk
83.02KB
2024-10-25 22:07:35
libsemanage-dev-3.6-r1.apk
128.77KB
2024-10-25 22:07:35
libsemanage-doc-3.6-r1.apk
22.91KB
2024-10-25 22:07:35
libsemigroups-2.7.3-r1.apk
558.31KB
2024-12-14 21:23:33
libsemigroups-dev-2.7.3-r1.apk
334.50KB
2024-12-14 21:23:33
libsemigroups-static-2.7.3-r1.apk
1.31MB
2024-12-14 21:23:33
libserialport-0.1.1-r1.apk
19.97KB
2024-10-25 22:07:35
libserialport-dev-0.1.1-r1.apk
36.58KB
2024-10-25 22:07:35
libsigrok-0.5.2-r3.apk
437.54KB
2024-10-25 22:07:35
libsigrok-dev-0.5.2-r3.apk
30.67KB
2024-10-25 22:07:35
libsigrokdecode-0.5.3-r4.apk
331.65KB
2024-10-25 22:07:35
libsigrokdecode-dev-0.5.3-r4.apk
35.03KB
2024-10-25 22:07:35
libsimpleble-0.10.3-r0.apk
171.15KB
2025-07-15 13:28:49
libsimpleble-c-0.10.3-r0.apk
13.60KB
2025-07-15 13:28:49
libsimplebluez-0.10.3-r0.apk
122.75KB
2025-07-15 13:28:49
libsirocco-2.1.1-r0.apk
53.08KB
2025-08-31 20:16:44
libsirocco-dev-2.1.1-r0.apk
2.20KB
2025-08-31 20:16:44
libspatialindex-2.1.0-r0.apk
290.14KB
2025-05-31 13:32:20
libspatialindex-dev-2.1.0-r0.apk
21.42KB
2025-05-31 13:32:20
libstirshaken-0_git20240208-r4.apk
48.29KB
2025-05-11 14:57:48
libstirshaken-dev-0_git20240208-r4.apk
76.54KB
2025-05-11 14:57:48
libstirshaken-tools-0_git20240208-r4.apk
144.42KB
2025-05-11 14:57:48
libsymmetrica-3.0.1-r2.apk
3.78MB
2024-10-25 22:07:35
libsymmetrica-dev-3.0.1-r2.apk
32.04KB
2024-10-25 22:07:35
libsymmetrica-static-3.0.1-r2.apk
4.24MB
2024-10-25 22:07:35
libtins-4.5-r1.apk
272.40KB
2024-10-25 22:07:35
libtins-dev-4.5-r1.apk
141.32KB
2024-10-25 22:07:35
libtins-doc-4.5-r1.apk
2.32KB
2024-10-25 22:07:35
libtsm-4.1.0-r0.apk
22.77KB
2025-07-08 08:58:38
libtsm-dev-4.1.0-r0.apk
10.97KB
2025-07-08 08:58:38
libucl-0.9.0-r0.apk
46.06KB
2024-10-25 22:07:35
libucl-dev-0.9.0-r0.apk
71.28KB
2024-10-25 22:07:35
libucl-doc-0.9.0-r0.apk
8.84KB
2024-10-25 22:07:35
libuecc-7-r4.apk
8.34KB
2025-03-03 18:22:14
libuecc-dev-7-r4.apk
4.63KB
2025-03-03 18:22:14
libuninameslist-20230916-r0.apk
425.18KB
2024-10-25 22:07:35
libuninameslist-dev-20230916-r0.apk
3.45KB
2024-10-25 22:07:35
libuninameslist-doc-20230916-r0.apk
2.02KB
2024-10-25 22:07:35
libupstart-2.0.3-r5.apk
63.26KB
2024-10-25 22:07:35
libvdpau-va-gl-0.4.2-r0.apk
47.27KB
2024-10-25 22:07:35
libvisio2svg-0.5.5-r3.apk
12.77KB
2024-10-25 22:07:35
libvisio2svg-dev-0.5.5-r3.apk
2.92KB
2024-10-25 22:07:35
libvisio2svg-utils-0.5.5-r3.apk
101.22KB
2024-10-25 22:07:35
libvmaf-3.0.0-r0.apk
305.38KB
2024-10-25 22:07:35
libvmaf-dev-3.0.0-r0.apk
180.67KB
2024-10-25 22:07:35
libvoikko-4.3.2-r1.apk
107.68KB
2024-10-25 22:07:35
libvoikko-dev-4.3.2-r1.apk
9.91KB
2024-10-25 22:07:35
libvoikko-doc-4.3.2-r1.apk
5.72KB
2024-10-25 22:07:35
libwasmtime-34.0.1-r0.apk
2.58MB
2025-07-24 16:42:49
libwasmtime-static-34.0.1-r0.apk
5.30MB
2025-07-24 16:42:49
libwbxml-0.11.8-r0.apk
57.79KB
2024-10-25 22:07:35
libwbxml-dev-0.11.8-r0.apk
8.96KB
2024-10-25 22:07:35
libwbxml-doc-0.11.8-r0.apk
28.27KB
2024-10-25 22:07:35
libwhich-1.2.0-r0.apk
4.47KB
2024-10-25 22:07:35
libwmiclient-1.3.16-r5.apk
1.45KB
2024-10-25 22:07:35
libwmiclient-dev-1.3.16-r5.apk
1.69KB
2024-10-25 22:07:35
libxml++-5.4.0-r0.apk
58.41KB
2025-02-13 09:45:53
libxml++-dev-5.4.0-r0.apk
29.37KB
2025-02-13 09:45:53
libxo-1.7.5-r0.apk
170.15KB
2025-01-13 00:45:44
libxo-dev-1.7.5-r0.apk
66.89KB
2025-01-13 00:45:44
libxo-doc-1.7.5-r0.apk
62.82KB
2025-01-13 00:45:44
libzn_poly-0.9.2-r2.apk
39.79KB
2024-10-25 22:07:35
libzn_poly-dev-0.9.2-r2.apk
8.15KB
2024-10-25 22:07:35
libzn_poly-static-0.9.2-r2.apk
41.95KB
2024-10-25 22:07:35
libzrtpcpp-4.7.0-r0.apk
153.95KB
2025-01-04 23:55:13
libzrtpcpp-dev-4.7.0-r0.apk
37.85KB
2025-01-04 23:55:13
libzvbi-0.2.44-r0.apk
203.20KB
2025-03-11 22:40:02
libzvbi-dev-0.2.44-r0.apk
14.31KB
2025-03-11 22:40:02
libzvbi-static-0.2.44-r0.apk
255.59KB
2025-03-11 22:40:02
licenseheaders-0.8.8-r4.apk
17.89KB
2024-10-25 22:07:35
licenseheaders-pyc-0.8.8-r4.apk
18.41KB
2024-10-25 22:07:35
lidarr-2.13.3.4711-r0.apk
20.40MB
2025-09-09 16:26:40
lidarr-openrc-2.13.3.4711-r0.apk
2.03KB
2025-09-09 16:26:40
limnoria-20240828-r0.apk
1.08MB
2024-10-25 22:07:36
limnoria-doc-20240828-r0.apk
8.40KB
2024-10-25 22:07:36
limnoria-pyc-20240828-r0.apk
1.22MB
2024-10-25 22:07:36
linkchecker-10.6.0-r0.apk
181.10KB
2025-08-10 14:39:00
linkchecker-doc-10.6.0-r0.apk
39.06KB
2025-08-10 14:39:00
linkchecker-pyc-10.6.0-r0.apk
253.67KB
2025-08-10 14:39:00
linkquisition-1.6.1-r8.apk
11.97MB
2025-09-09 16:17:31
linphone-5.3.38-r0.apk
8.99MB
2024-10-25 22:07:36
linphone-dev-5.3.38-r0.apk
249.72KB
2024-10-25 22:07:36
linphone-libs-5.3.38-r0.apk
2.44MB
2024-10-25 22:07:36
linux-apfs-rw-src-0.3.8-r0.apk
197.04KB
2024-10-25 22:07:36
linux-timemachine-1.3.2-r0.apk
5.05KB
2024-10-25 22:07:36
linuxptp-4.4-r0.apk
1.22KB
2024-11-20 04:43:39
linuxptp-doc-4.4-r0.apk
38.44KB
2024-11-20 04:43:39
linuxptp-hwstamp_ctl-4.4-r0.apk
4.21KB
2024-11-20 04:43:39
linuxptp-nsm-4.4-r0.apk
30.93KB
2024-11-20 04:43:39
linuxptp-phc2sys-4.4-r0.apk
35.44KB
2024-11-20 04:43:39
linuxptp-phc_ctl-4.4-r0.apk
10.12KB
2024-11-20 04:43:39
linuxptp-pmc-4.4-r0.apk
32.88KB
2024-11-20 04:43:39
linuxptp-ptp4l-4.4-r0.apk
72.67KB
2024-11-20 04:43:39
linuxptp-timemaster-4.4-r0.apk
14.24KB
2024-11-20 04:43:39
linuxptp-ts2phc-4.4-r0.apk
34.56KB
2024-11-20 04:43:39
linuxptp-tz2alt-4.4-r0.apk
18.41KB
2024-11-20 04:43:39
liquibase-4.9.1-r0.apk
31.63MB
2024-10-25 22:07:37
liquibase-doc-4.9.1-r0.apk
56.89KB
2024-10-25 22:07:37
litehtml-0.9-r2.apk
260.34KB
2025-02-22 14:17:57
litehtml-dev-0.9-r2.apk
41.65KB
2025-02-22 14:17:57
litehtml-static-0.9-r2.apk
455.57KB
2025-02-22 14:17:57
litterbox-1.9-r2.apk
27.34KB
2025-09-13 04:00:11
litterbox-doc-1.9-r2.apk
7.21KB
2025-09-13 04:00:11
lizardfs-3.13.0-r17.apk
96.22KB
2025-06-20 07:10:15
lizardfs-bash-completion-3.13.0-r17.apk
1.89KB
2025-06-20 07:10:15
lizardfs-cgi-3.13.0-r17.apk
31.46KB
2025-06-20 07:10:15
lizardfs-cgiserv-3.13.0-r17.apk
7.37KB
2025-06-20 07:10:15
lizardfs-cgiserv-openrc-3.13.0-r17.apk
1.97KB
2025-06-20 07:10:15
lizardfs-chunkserver-3.13.0-r17.apk
269.66KB
2025-06-20 07:10:15
lizardfs-chunkserver-openrc-3.13.0-r17.apk
1.66KB
2025-06-20 07:10:15
lizardfs-client-3.13.0-r17.apk
925.49KB
2025-06-20 07:10:15
lizardfs-doc-3.13.0-r17.apk
11.44KB
2025-06-20 07:10:15
lizardfs-master-3.13.0-r17.apk
702.06KB
2025-06-20 07:10:15
lizardfs-master-openrc-3.13.0-r17.apk
1.65KB
2025-06-20 07:10:15
lizardfs-metalogger-3.13.0-r17.apk
112.14KB
2025-06-20 07:10:15
lizardfs-metalogger-openrc-3.13.0-r17.apk
1.65KB
2025-06-20 07:10:15
lkrg-0.9.6-r1.apk
104.73KB
2025-01-09 13:44:48
lkrg-doc-0.9.6-r1.apk
21.57KB
2025-01-09 13:44:48
llmnrd-0.7-r1.apk
16.19KB
2024-10-25 22:07:37
llmnrd-doc-0.7-r1.apk
3.04KB
2024-10-25 22:07:37
llmnrd-openrc-0.7-r1.apk
1.89KB
2024-10-25 22:07:37
lockrun-1.1.3-r1.apk
5.12KB
2024-10-25 22:07:37
log4cpp-1.1.4-r1.apk
64.67KB
2024-10-25 22:07:37
log4cpp-dev-1.1.4-r1.apk
38.90KB
2024-10-25 22:07:37
log4cxx-1.1.0-r3.apk
449.25KB
2025-02-22 14:17:57
log4cxx-dev-1.1.0-r3.apk
135.20KB
2025-02-22 14:17:57
logc-0.5.0-r1.apk
6.79KB
2025-06-13 17:11:53
logc-argp-0.5.0-r1.apk
13.53KB
2025-06-13 17:11:53
logc-config-0.5.0-r1.apk
4.66KB
2025-06-13 17:11:53
logc-czmq-0.1.0-r0.apk
3.77KB
2024-10-25 22:07:37
logc-dev-0.5.0-r1.apk
8.59KB
2025-06-13 17:11:53
logc-libevent-0.1.0-r0.apk
3.25KB
2024-10-25 22:07:37
logc-libs-0.1.0-r0.apk
1.44KB
2024-10-25 22:07:37
logc-libs-dev-0.1.0-r0.apk
5.24KB
2024-10-25 22:07:37
logtop-0.7-r1.apk
11.32KB
2025-08-09 03:22:05
logtop-doc-0.7-r1.apk
2.85KB
2025-08-09 03:22:05
logtop-libs-0.7-r1.apk
12.28KB
2025-08-09 03:22:05
logwatch-7.10-r1.apk
483.46KB
2024-10-25 22:07:37
logwatch-doc-7.10-r1.apk
38.10KB
2024-10-25 22:07:37
lol-html-1.1.1-r1.apk
397.44KB
2024-10-25 22:07:37
lol-html-dev-1.1.1-r1.apk
6.52KB
2024-10-25 22:07:37
lolcat-1.4-r0.apk
9.30KB
2024-10-25 22:07:37
lomiri-0.5.0-r1.apk
3.83MB
2025-09-19 17:53:45
lomiri-action-api-1.2.0-r0.apk
70.01KB
2025-04-11 21:19:10
lomiri-action-api-dev-1.2.0-r0.apk
4.96KB
2025-04-11 21:19:10
lomiri-api-0.2.2-r1.apk
29.75KB
2025-02-22 14:17:57
lomiri-api-dev-0.2.2-r1.apk
32.07KB
2025-02-22 14:17:57
lomiri-app-launch-0.1.12-r2.apk
286.58KB
2025-09-19 17:53:45
lomiri-app-launch-dev-0.1.12-r2.apk
20.08KB
2025-09-19 17:53:45
lomiri-calculator-app-4.1.0-r0.apk
380.81KB
2025-08-19 23:07:42
lomiri-calculator-app-lang-4.1.0-r0.apk
41.47KB
2025-08-19 23:07:42
lomiri-clock-app-4.1.1-r0.apk
213.04KB
2025-05-11 14:57:50
lomiri-clock-app-lang-4.1.1-r0.apk
456.00KB
2025-05-11 14:57:50
lomiri-content-hub-2.1.0-r0.apk
252.88KB
2025-04-14 01:06:46
lomiri-content-hub-dev-2.1.0-r0.apk
11.21KB
2025-04-14 01:06:46
lomiri-content-hub-doc-2.1.0-r0.apk
1.38MB
2025-04-14 01:06:47
lomiri-content-hub-lang-2.1.0-r0.apk
46.65KB
2025-04-14 01:06:47
lomiri-docviewer-app-3.1.2-r0.apk
211.03KB
2025-08-19 23:08:07
lomiri-docviewer-app-doc-3.1.2-r0.apk
2.02KB
2025-08-19 23:08:07
lomiri-docviewer-app-lang-3.1.2-r0.apk
137.58KB
2025-08-19 23:08:07
lomiri-download-manager-0.2.2-r0.apk
510.64KB
2025-09-24 19:14:28
lomiri-download-manager-dev-0.2.2-r0.apk
16.92KB
2025-09-24 19:14:28
lomiri-download-manager-doc-0.2.2-r0.apk
3.40MB
2025-09-24 19:14:28
lomiri-download-manager-lang-0.2.2-r0.apk
29.62KB
2025-09-24 19:14:28
lomiri-filemanager-app-1.1.4-r0.apk
309.54KB
2025-08-19 23:07:42
lomiri-filemanager-app-lang-1.1.4-r0.apk
179.66KB
2025-08-19 23:07:42
lomiri-gallery-app-3.0.2-r2.apk
3.69MB
2025-08-19 11:53:11
lomiri-gallery-app-lang-3.0.2-r2.apk
106.25KB
2025-08-19 11:53:11
lomiri-history-service-0.6-r14.apk
311.23KB
2025-09-26 14:30:15
lomiri-history-service-dev-0.6-r14.apk
11.11KB
2025-09-26 14:30:15
lomiri-indicator-location-25.4.22-r0.apk
25.73KB
2025-05-19 15:22:16
lomiri-indicator-location-lang-25.4.22-r0.apk
27.24KB
2025-05-19 15:22:16
lomiri-indicator-network-1.1.2-r0.apk
537.49KB
2025-09-09 16:17:31
lomiri-indicator-network-dev-1.1.2-r0.apk
9.53KB
2025-09-09 16:17:31
lomiri-indicator-network-doc-1.1.2-r0.apk
2.06KB
2025-09-09 16:17:31
lomiri-indicator-network-lang-1.1.2-r0.apk
199.45KB
2025-09-09 16:17:31
lomiri-lang-0.5.0-r1.apk
269.30KB
2025-09-19 17:53:45
lomiri-libusermetrics-1.3.3-r1.apk
141.47KB
2025-02-22 14:17:57
lomiri-libusermetrics-dev-1.3.3-r1.apk
7.79KB
2025-02-22 14:17:57
lomiri-libusermetrics-doc-1.3.3-r1.apk
227.63KB
2025-02-22 14:17:57
lomiri-libusermetrics-lang-1.3.3-r1.apk
43.18KB
2025-02-22 14:17:57
lomiri-location-service-3.3.0-r4.apk
1.91MB
2025-06-26 16:55:26
lomiri-location-service-dev-3.3.0-r4.apk
29.84KB
2025-06-26 16:55:26
lomiri-location-service-doc-3.3.0-r4.apk
2.87KB
2025-06-26 16:55:26
lomiri-location-service-lang-3.3.0-r4.apk
25.79KB
2025-06-26 16:55:26
lomiri-notifications-1.3.1-r0.apk
83.76KB
2025-01-10 12:07:37
lomiri-schemas-0.1.8-r1.apk
10.38KB
2025-06-28 20:57:16
lomiri-settings-components-1.1.2-r0.apk
215.79KB
2025-01-10 12:07:37
lomiri-settings-components-lang-1.1.2-r0.apk
97.80KB
2025-01-10 12:07:37
lomiri-sounds-25.01-r0.apk
17.97MB
2025-08-19 23:07:43
lomiri-system-settings-1.3.2-r0.apk
0.97MB
2025-05-11 14:57:50
lomiri-system-settings-lang-1.3.2-r0.apk
846.08KB
2025-05-11 14:57:50
lomiri-telephony-service-0.6.1-r9.apk
890.70KB
2025-09-26 14:30:15
lomiri-telephony-service-lang-0.6.1-r9.apk
107.58KB
2025-09-26 14:30:15
lomiri-terminal-app-2.0.5-r0.apk
62.16KB
2025-05-11 14:57:50
lomiri-terminal-app-doc-2.0.5-r0.apk
2.66KB
2025-05-11 14:57:50
lomiri-terminal-app-lang-2.0.5-r0.apk
91.43KB
2025-05-11 14:57:50
lomiri-thumbnailer-3.0.4-r3.apk
190.89KB
2025-09-16 12:04:36
lomiri-thumbnailer-dev-3.0.4-r3.apk
5.10KB
2025-09-16 12:04:36
lomiri-thumbnailer-doc-3.0.4-r3.apk
1.53KB
2025-09-16 12:04:36
lomiri-trust-store-2.0.2-r11.apk
843.47KB
2025-09-19 17:53:45
lomiri-trust-store-dev-2.0.2-r11.apk
9.12KB
2025-09-19 17:53:45
lomiri-trust-store-lang-2.0.2-r11.apk
27.73KB
2025-09-19 17:53:45
lomiri-ui-extras-0.7.0-r0.apk
231.95KB
2025-04-11 21:19:10
lomiri-ui-extras-lang-0.7.0-r0.apk
51.62KB
2025-04-11 21:19:10
lomiri-ui-toolkit-1.3.5110-r2.apk
1.18MB
2025-09-19 17:53:45
lomiri-ui-toolkit-dev-1.3.5110-r2.apk
167.78KB
2025-09-19 17:53:45
lomiri-ui-toolkit-lang-1.3.5110-r2.apk
96.52KB
2025-09-19 17:53:45
lomiri-url-dispatcher-0.1.4-r0.apk
33.73KB
2025-05-11 14:57:50
lomiri-url-dispatcher-dev-0.1.4-r0.apk
3.31KB
2025-05-11 14:57:50
lomiri-url-dispatcher-lang-0.1.4-r0.apk
25.88KB
2025-05-11 14:57:50
lomiri-weather-app-6.0.2-r0.apk
295.14KB
2025-03-11 14:02:11
lomiri-weather-app-lang-6.0.2-r0.apk
214.03KB
2025-03-11 14:02:11
lotide-0.15.0-r0.apk
3.18MB
2024-10-25 22:07:38
lotide-openrc-0.15.0-r0.apk
3.10KB
2024-10-25 22:07:38
lout-3.42.2-r0.apk
1.37MB
2024-10-25 22:07:38
lout-doc-3.42.2-r0.apk
452.53KB
2024-10-25 22:07:38
lowjs-1.6.2-r2.apk
1.32MB
2024-10-25 22:07:38
lowjs-doc-1.6.2-r2.apk
2.97KB
2024-10-25 22:07:38
lrcalc-2.1-r1.apk
10.49KB
2024-10-25 22:07:38
lrcalc-dev-2.1-r1.apk
11.33KB
2024-10-25 22:07:38
lrcalc-libs-2.1-r1.apk
21.03KB
2024-10-25 22:07:38
lsdvd-0.17-r0.apk
12.23KB
2024-10-25 22:07:38
lsdvd-doc-0.17-r0.apk
2.46KB
2024-10-25 22:07:38
lshell-0.9.18-r12.apk
36.22KB
2025-09-09 16:17:31
lshell-doc-0.9.18-r12.apk
25.11KB
2025-09-09 16:17:31
lshell-pyc-0.9.18-r12.apk
35.05KB
2025-09-09 16:17:31
lsix-1.8.2-r0.apk
6.48KB
2024-10-25 22:07:38
lsmash-2.14.5-r2.apk
250.94KB
2024-10-25 22:07:38
lsmash-dev-2.14.5-r2.apk
325.82KB
2024-10-25 22:07:38
lua-editorconfig-0.3.0-r0.apk
1.20KB
2024-10-25 22:07:38
lua-fn-0.1.0-r0.apk
3.38KB
2024-10-25 22:07:38
lua-inet-0.2.0-r1.apk
9.13KB
2024-10-25 22:07:38
lua-lanes-3.16.0-r1.apk
1.42KB
2024-10-25 22:07:38
lua-lcurses-9.0.0-r0.apk
1.19KB
2024-10-25 22:07:38
lua-libmodbus-0.6.1-r0.apk
1.18KB
2024-10-25 22:07:38
lua-libmodbus-doc-0.6.1-r0.apk
19.10KB
2024-10-25 22:07:38
lua-linenoise-0.9-r1.apk
1.17KB
2024-10-25 22:07:38
lua-luastatic-0.0.12-r1.apk
1.46KB
2024-10-25 22:07:38
lua-lupa-1.0-r0.apk
19.57KB
2024-10-25 22:07:38
lua-lut-1.2.1-r0.apk
88.67KB
2024-10-25 22:07:38
lua-psl-0.3-r0.apk
1.13KB
2024-10-25 22:07:38
lua-resty-redis-0.29-r0.apk
5.33KB
2024-10-25 22:07:38
lua-resty-upload-0.11-r0.apk
3.62KB
2024-10-25 22:07:38
lua-xml-1.1.3-r2.apk
1.43KB
2024-10-25 22:07:38
lua5.1-lanes-3.16.0-r1.apk
55.40KB
2024-10-25 22:07:38
lua5.1-lcurses-9.0.0-r0.apk
21.23KB
2024-10-25 22:07:38
lua5.1-libguestfs-1.56.1-r0.apk
74.31KB
2025-07-24 16:42:50
lua5.1-libmodbus-0.6.1-r0.apk
8.65KB
2024-10-25 22:07:38
lua5.1-linenoise-0.9-r1.apk
14.83KB
2024-10-25 22:07:38
lua5.1-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 22:07:38
lua5.1-luacov-html-1.0.0-r1.apk
412.73KB
2024-10-25 22:07:38
lua5.1-luastatic-0.0.12-r1.apk
62.13KB
2024-10-25 22:07:38
lua5.1-psl-0.3-r0.apk
5.20KB
2024-10-25 22:07:38
lua5.1-ubus-2025.05.16-r0.apk
8.69KB
2025-08-11 23:10:51
lua5.1-xml-1.1.3-r2.apk
21.44KB
2024-10-25 22:07:38
lua5.2-editorconfig-0.3.0-r0.apk
4.01KB
2024-10-25 22:07:38
lua5.2-lanes-3.16.0-r1.apk
55.24KB
2024-10-25 22:07:38
lua5.2-libmodbus-0.6.1-r0.apk
8.65KB
2024-10-25 22:07:38
lua5.2-linenoise-0.9-r1.apk
14.86KB
2024-10-25 22:07:38
lua5.2-luacov-0.15.0-r0.apk
23.28KB
2024-10-25 22:07:38
lua5.2-luacov-html-1.0.0-r1.apk
412.75KB
2024-10-25 22:07:38
lua5.2-luastatic-0.0.12-r1.apk
8.69KB
2024-10-25 22:07:38
lua5.2-psl-0.3-r0.apk
5.13KB
2024-10-25 22:07:38
lua5.2-ubus-2025.05.16-r0.apk
8.70KB
2025-08-11 23:10:51
lua5.2-xml-1.1.3-r2.apk
21.27KB
2024-10-25 22:07:38
lua5.3-editorconfig-0.3.0-r0.apk
4.05KB
2024-10-25 22:07:38
lua5.3-lanes-3.16.0-r1.apk
56.15KB
2024-10-25 22:07:38
lua5.3-linenoise-0.9-r1.apk
14.85KB
2024-10-25 22:07:38
lua5.3-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 22:07:38
lua5.3-luacov-html-1.0.0-r1.apk
412.77KB
2024-10-25 22:07:38
lua5.3-luastatic-0.0.12-r1.apk
8.73KB
2024-10-25 22:07:38
lua5.3-psl-0.3-r0.apk
5.17KB
2024-10-25 22:07:38
lua5.4-editorconfig-0.3.0-r0.apk
4.05KB
2024-10-25 22:07:38
lua5.4-lanes-3.16.0-r1.apk
56.00KB
2024-10-25 22:07:38
lua5.4-linenoise-0.9-r1.apk
14.83KB
2024-10-25 22:07:38
lua5.4-luacov-0.15.0-r0.apk
23.29KB
2024-10-25 22:07:38
lua5.4-luastatic-0.0.12-r1.apk
8.80KB
2024-10-25 22:07:38
luacov-0.15.0-r0.apk
1.45KB
2024-10-25 22:07:38
luacov-html-1.0.0-r1.apk
1.21KB
2024-10-25 22:07:38
luapak-0.1.0_beta5-r0.apk
35.30KB
2024-10-25 22:07:38
luksmeta-9-r0.apk
11.55KB
2024-10-25 22:07:38
luksmeta-dev-9-r0.apk
3.09KB
2024-10-25 22:07:38
luksmeta-doc-9-r0.apk
5.48KB
2024-10-25 22:07:38
lumina-desktop-1.6.2-r0.apk
1.24KB
2024-10-25 22:07:38
lumina-desktop-archiver-1.6.2-r0.apk
151.81KB
2024-10-25 22:07:38
lumina-desktop-core-1.6.2-r0.apk
8.78MB
2024-10-25 22:07:38
lumina-desktop-coreutils-1.6.2-r0.apk
764.10KB
2024-10-25 22:07:38
lumina-desktop-doc-1.6.2-r0.apk
11.50KB
2024-10-25 22:07:38
lumina-desktop-fileinfo-1.6.2-r0.apk
145.21KB
2024-10-25 22:07:38
lumina-desktop-fm-1.6.2-r0.apk
359.76KB
2024-10-25 22:07:38
lumina-desktop-mediaplayer-1.6.2-r0.apk
184.69KB
2024-10-25 22:07:38
lumina-desktop-photo-1.6.2-r0.apk
112.95KB
2024-10-25 22:07:38
lumina-desktop-screenshot-1.6.2-r0.apk
149.07KB
2024-10-25 22:07:38
lumina-desktop-sudo-1.6.2-r0.apk
85.51KB
2024-10-25 22:07:38
lumina-desktop-textedit-1.6.2-r0.apk
174.52KB
2024-10-25 22:07:38
lumins-0.4.0-r2.apk
588.98KB
2024-10-25 22:07:38
lutgen-1.0.0-r0.apk
1.68MB
2025-07-24 16:42:50
lutgen-bash-completion-1.0.0-r0.apk
1.74KB
2025-07-24 16:42:50
lutgen-doc-1.0.0-r0.apk
4.47KB
2025-07-24 16:42:50
lutgen-fish-completion-1.0.0-r0.apk
1.79KB
2025-07-24 16:42:50
lutgen-zsh-completion-1.0.0-r0.apk
1.71KB
2025-07-24 16:42:50
lutris-0.5.19-r1.apk
819.20KB
2025-09-19 17:53:45
lutris-doc-0.5.19-r1.apk
2.34KB
2025-09-19 17:53:45
lutris-lang-0.5.19-r1.apk
810.48KB
2025-09-19 17:53:45
lutris-pyc-0.5.19-r1.apk
1.13MB
2025-09-19 17:53:45
lv_font_conv-1.5.3-r0.apk
1.13MB
2025-08-19 22:54:04
lv_font_conv-doc-1.5.3-r0.apk
4.98KB
2025-08-19 22:54:04
lxappearance-0.6.3-r3.apk
27.67KB
2024-10-25 22:07:38
lxappearance-dev-0.6.3-r3.apk
3.24KB
2024-10-25 22:07:38
lxappearance-doc-0.6.3-r3.apk
2.61KB
2024-10-25 22:07:38
lxappearance-lang-0.6.3-r3.apk
80.50KB
2024-10-25 22:07:38
lxd-5.0.3-r14.apk
14.72MB
2025-09-09 16:17:31
lxd-bash-completion-5.0.3-r14.apk
5.05KB
2025-09-09 16:17:31
lxd-client-5.0.3-r14.apk
6.31MB
2025-09-09 16:17:31
lxd-feature-5.20-r14.apk
69.09MB
2025-09-09 16:17:32
lxd-feature-bash-completion-5.20-r14.apk
5.12KB
2025-09-09 16:17:32
lxd-feature-doc-5.20-r14.apk
1.67KB
2025-09-09 16:17:32
lxd-feature-openrc-5.20-r14.apk
2.42KB
2025-09-09 16:17:32
lxd-feature-scripts-5.20-r14.apk
2.13KB
2025-09-09 16:17:32
lxd-openrc-5.0.3-r14.apk
2.54KB
2025-09-09 16:17:32
lxd-scripts-5.0.3-r14.apk
25.85MB
2025-09-09 16:17:32
lxd-vm-5.0.3-r14.apk
1.33KB
2025-09-09 16:17:32
lxqt-wayland-session-0.2.1-r0.apk
336.35KB
2025-08-01 00:23:22
lxqt-wayland-session-doc-0.2.1-r0.apk
28.85KB
2025-08-01 00:23:22
lychee-0.19.1-r0.apk
2.60MB
2025-06-20 07:10:15
lychee-doc-0.19.1-r0.apk
12.92KB
2025-06-20 07:10:15
lynis-3.1.4-r0.apk
275.68KB
2025-07-29 11:11:28
lynis-bash-completion-3.1.4-r0.apk
2.97KB
2025-07-29 11:11:28
lynis-doc-3.1.4-r0.apk
50.20KB
2025-07-29 11:11:28
lyrics-in-terminal-1.7.0-r0.apk
38.06KB
2025-01-03 12:09:40
lzfse-1.0-r0.apk
19.05KB
2024-10-25 22:07:40
lzfse-dev-1.0-r0.apk
3.42KB
2024-10-25 22:07:40
m2r2-0.3.3-r3.apk
12.50KB
2024-10-25 22:07:40
m2r2-pyc-0.3.3-r3.apk
15.60KB
2024-10-25 22:07:40
ma1sd-2.5.0-r3.apk
38.12MB
2024-10-25 22:07:40
ma1sd-openrc-2.5.0-r3.apk
1.96KB
2024-10-25 22:07:40
macchina-6.4.0-r0.apk
890.06KB
2025-07-24 16:42:50
macchina-doc-6.4.0-r0.apk
5.67KB
2025-07-24 16:42:50
mado-0.3.0-r0.apk
1.19MB
2025-09-23 23:48:58
mado-bash-completion-0.3.0-r0.apk
2.25KB
2025-09-23 23:48:58
mado-doc-0.3.0-r0.apk
9.19KB
2025-09-23 23:48:58
mado-fish-completion-0.3.0-r0.apk
2.33KB
2025-09-23 23:48:58
mado-zsh-completion-0.3.0-r0.apk
2.53KB
2025-09-23 23:48:58
mage-1.13.0-r23.apk
1.53MB
2025-05-12 10:06:31
maildir2rss-0.0.7-r8.apk
3.59MB
2025-09-09 16:17:32
mailsec-check-0_git20210729-r29.apk
2.62MB
2025-09-09 16:17:32
makeclapman-2.4.4-r8.apk
1.30MB
2025-09-09 16:17:32
makeclapman-doc-2.4.4-r8.apk
4.16KB
2025-09-09 16:17:32
makedumpfile-1.7.7-r0.apk
161.17KB
2025-04-23 00:24:52
makedumpfile-doc-1.7.7-r0.apk
23.67KB
2025-04-23 00:24:52
makedumpfile-openrc-1.7.7-r0.apk
2.93KB
2025-04-23 00:24:52
makepp-2.0.99.2-r0.apk
517.48KB
2025-09-09 16:17:32
makepp-doc-2.0.99.2-r0.apk
265.73KB
2025-09-09 16:17:32
makeself-2.5.0-r0.apk
13.00KB
2024-10-25 22:07:41
malcontent-0.13.1-r0.apk
151.87KB
2025-09-09 16:17:32
malcontent-dev-0.13.1-r0.apk
23.96KB
2025-09-09 16:17:32
malcontent-doc-0.13.1-r0.apk
44.73KB
2025-09-09 16:17:32
mame-0.251-r0.apk
82.75MB
2024-10-25 22:07:42
mame-arcade-0.251-r0.apk
57.31MB
2024-10-25 22:07:43
mame-common-0.251-r0.apk
2.66KB
2024-10-25 22:07:43
mame-data-0.251-r0.apk
19.12MB
2024-10-25 22:07:43
mame-doc-0.251-r0.apk
24.06KB
2024-10-25 22:07:43
mame-lang-0.251-r0.apk
1.43MB
2024-10-25 22:07:43
mame-mess-0.251-r0.apk
44.12MB
2024-10-25 22:07:44
mame-plugins-0.251-r0.apk
166.33KB
2024-10-25 22:07:44
mame-tools-0.251-r0.apk
2.22MB
2024-10-25 22:07:44
mangal-4.0.6-r21.apk
10.15MB
2025-09-09 16:17:32
mangal-bash-completion-4.0.6-r21.apk
4.99KB
2025-09-09 16:17:32
mangal-fish-completion-4.0.6-r21.apk
3.92KB
2025-09-09 16:17:32
mangal-zsh-completion-4.0.6-r21.apk
4.00KB
2025-09-09 16:17:32
mangr0ve-0.1.2-r0.apk
2.78KB
2024-10-25 22:07:44
mangr0ve-doc-0.1.2-r0.apk
14.40KB
2024-10-25 22:07:44
manifest-tool-2.2.0-r4.apk
3.89MB
2025-09-09 16:17:32
mapnik-4.0.6-r1.apk
10.84MB
2025-06-10 13:48:13
mapnik-dev-4.0.6-r1.apk
485.53KB
2025-06-10 13:48:13
mapnik-doc-4.0.6-r1.apk
141.63KB
2025-06-10 13:48:13
mapserver-8.4.1-r0.apk
1.23MB
2025-09-22 16:15:19
mapserver-dev-8.4.1-r0.apk
539.90KB
2025-09-22 16:15:19
marxan-4.0.7-r1.apk
476.08KB
2024-10-25 22:07:45
masky-0.2.0-r2.apk
276.87KB
2025-05-29 14:58:13
masky-pyc-0.2.0-r2.apk
63.66KB
2025-05-29 14:58:13
mat2-0.13.5-r0.apk
35.41KB
2025-09-19 17:53:45
mat2-doc-0.13.5-r0.apk
7.69KB
2025-09-19 17:53:45
mat2-pyc-0.13.5-r0.apk
54.48KB
2025-09-19 17:53:45
materia-20210322-r3.apk
1.67KB
2025-07-15 13:28:49
materia-chromium-20210322-r3.apk
5.69KB
2025-07-15 13:28:49
materia-compact-20210322-r3.apk
1.69KB
2025-07-15 13:28:49
materia-compact-chromium-20210322-r3.apk
5.70KB
2025-07-15 13:28:49
materia-compact-gnome-shell-20210322-r3.apk
29.31KB
2025-07-15 13:28:49
materia-compact-gtk2-20210322-r3.apk
34.59KB
2025-07-15 13:28:49
materia-compact-gtk3-20210322-r3.apk
62.91KB
2025-07-15 13:28:49
materia-compact-gtk4-20210322-r3.apk
42.57KB
2025-07-15 13:28:49
materia-dark-20210322-r3.apk
1.69KB
2025-07-15 13:28:49
materia-dark-chromium-20210322-r3.apk
5.70KB
2025-07-15 13:28:49
materia-dark-compact-20210322-r3.apk
1.70KB
2025-07-15 13:28:49
materia-dark-compact-chromium-20210322-r3.apk
5.72KB
2025-07-15 13:28:49
materia-dark-compact-gnome-shell-20210322-r3.apk
29.29KB
2025-07-15 13:28:49
materia-dark-compact-gtk2-20210322-r3.apk
34.51KB
2025-07-15 13:28:49
materia-dark-compact-gtk3-20210322-r3.apk
39.60KB
2025-07-15 13:28:49
materia-dark-compact-gtk4-20210322-r3.apk
29.41KB
2025-07-15 13:28:49
materia-dark-compact-kde-kvantum-20220823-r0.apk
1.47KB
2024-10-25 22:07:45
materia-dark-gnome-shell-20210322-r3.apk
29.20KB
2025-07-15 13:28:49
materia-dark-gtk2-20210322-r3.apk
34.49KB
2025-07-15 13:28:49
materia-dark-gtk3-20210322-r3.apk
39.61KB
2025-07-15 13:28:49
materia-dark-gtk4-20210322-r3.apk
29.41KB
2025-07-15 13:28:49
materia-dark-kde-konsole-20220823-r0.apk
1.85KB
2024-10-25 22:07:45
materia-dark-kde-kvantum-20220823-r0.apk
30.00KB
2024-10-25 22:07:45
materia-dark-kde-plasma-20220823-r0.apk
502.94KB
2024-10-25 22:07:45
materia-dark-kde-yakuake-20220823-r0.apk
21.73KB
2024-10-25 22:07:45
materia-gnome-shell-20210322-r3.apk
29.20KB
2025-07-15 13:28:49
materia-gtk-theme-20210322-r3.apk
2.86KB
2025-07-15 13:28:49
materia-gtk2-20210322-r3.apk
34.56KB
2025-07-15 13:28:49
materia-gtk3-20210322-r3.apk
63.03KB
2025-07-15 13:28:49
materia-gtk4-20210322-r3.apk
42.68KB
2025-07-15 13:28:49
materia-kde-20220823-r0.apk
19.34KB
2024-10-25 22:07:45
materia-kde-konsole-20220823-r0.apk
1.83KB
2024-10-25 22:07:45
materia-kde-kvantum-20220823-r0.apk
29.96KB
2024-10-25 22:07:45
materia-kde-plasma-20220823-r0.apk
1.70MB
2024-10-25 22:07:45
materia-light-compact-kde-kvantum-20220823-r0.apk
1.47KB
2024-10-25 22:07:45
materia-light-kde-kvantum-20220823-r0.apk
29.60KB
2024-10-25 22:07:45
materia-light-kde-plasma-20220823-r0.apk
20.12KB
2024-10-25 22:07:45
materia-light-kde-yakuake-20220823-r0.apk
21.50KB
2024-10-25 22:07:45
maxima-5.48.1-r9.apk
24.52MB
2025-08-22 13:18:40
maxima-bash-completion-5.48.1-r9.apk
2.33KB
2025-08-22 13:18:40
maxima-doc-5.48.1-r9.apk
845.52KB
2025-08-22 13:18:40
maxima-doc-extra-5.48.1-r9.apk
9.65MB
2025-08-22 13:18:40
maxima-emacs-5.48.1-r9.apk
110.75KB
2025-08-22 13:18:40
mbrola-3.3-r0.apk
18.27KB
2024-10-25 22:07:46
mcjoin-2.11-r0.apk
20.52KB
2024-10-25 22:07:46
mcjoin-doc-2.11-r0.apk
53.73KB
2024-10-25 22:07:46
mcqd-1.0.0-r1.apk
10.72KB
2024-10-25 22:07:46
mcqd-dev-1.0.0-r1.apk
4.02KB
2024-10-25 22:07:46
md5ha1-0_git20171202-r1.apk
8.91KB
2024-10-25 22:07:46
mdbook-admonish-1.20.0-r0.apk
945.41KB
2025-06-20 07:10:15
mdbook-alerts-0.8.0-r0.apk
654.83KB
2025-09-26 00:50:37
mdbook-katex-0.9.4-r0.apk
1.05MB
2025-05-17 16:32:51
mdbook-linkcheck-0.7.7-r0.apk
2.52MB
2025-05-16 22:17:19
mdbook-mermaid-0.15.0-r0.apk
1.55MB
2025-05-17 16:32:51
mdbook-plantuml-0.8.0-r0.apk
819.01KB
2024-10-25 22:07:46
mdcat-2.7.1-r0.apk
2.78MB
2024-12-14 20:04:47
mdcat-bash-completion-2.7.1-r0.apk
2.20KB
2024-12-14 20:04:47
mdcat-doc-2.7.1-r0.apk
6.04KB
2024-12-14 20:04:47
mdcat-fish-completion-2.7.1-r0.apk
2.14KB
2024-12-14 20:04:47
mdcat-zsh-completion-2.7.1-r0.apk
2.45KB
2024-12-14 20:04:47
mdnsd-0.12-r1.apk
21.33KB
2024-10-25 22:07:46
mdnsd-doc-0.12-r1.apk
14.36KB
2024-10-25 22:07:46
mdnsd-libs-0.12-r1.apk
15.27KB
2024-10-25 22:07:46
mdnsd-openrc-0.12-r1.apk
2.14KB
2024-10-25 22:07:46
mdnsd-static-0.12-r1.apk
15.23KB
2024-10-25 22:07:46
mdp-1.0.18-r0.apk
14.65KB
2025-07-10 05:28:17
mdp-doc-1.0.18-r0.apk
3.87KB
2025-07-10 05:28:17
mediascanner2-0.118-r3.apk
233.56KB
2025-08-19 23:08:54
mediastreamer2-5.3.100-r1.apk
314.47KB
2025-06-01 18:47:04
mediastreamer2-dev-5.3.100-r1.apk
109.67KB
2025-06-01 18:47:04
mediastreamer2-doc-5.3.100-r1.apk
107.71KB
2025-06-01 18:47:04
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
10.46KB
2025-03-10 22:53:26
mediastreamer2-plugin-x264-20200722-r6.apk
7.28KB
2024-10-25 22:07:46
meep-1.31.0-r1.apk
576.76KB
2025-08-19 16:07:11
meep-dev-1.31.0-r1.apk
476.99KB
2025-08-19 16:07:11
megatools-1.11.5.20250706-r0.apk
60.17KB
2025-07-30 18:07:26
megatools-bash-completion-1.11.5.20250706-r0.apk
4.10KB
2025-07-30 18:07:26
megatools-doc-1.11.5.20250706-r0.apk
52.15KB
2025-07-30 18:07:26
megazeux-2.93d-r0.apk
1.20MB
2025-06-10 16:44:50
megazeux-doc-2.93d-r0.apk
465.29KB
2025-06-10 16:44:50
meli-0.8.11-r0.apk
4.38MB
2025-05-11 14:57:50
meli-doc-0.8.11-r0.apk
48.44KB
2025-05-11 14:57:50
memdump-1.01-r1.apk
5.20KB
2024-10-25 22:07:46
memdump-doc-1.01-r1.apk
3.11KB
2024-10-25 22:07:46
menumaker-0.99.14-r1.apk
111.02KB
2024-10-25 22:07:46
mergerfs-2.40.2-r1.apk
255.06KB
2024-10-25 22:07:46
mergerfs-doc-2.40.2-r1.apk
41.82KB
2024-10-25 22:07:46
merlin-4.14-r0.apk
14.26MB
2024-10-25 22:07:46
merlin-dev-4.14-r0.apk
22.63MB
2024-10-25 22:07:46
merlin-emacs-4.14-r0.apk
28.51KB
2024-10-25 22:07:46
merlin-vim-4.14-r0.apk
27.89KB
2024-10-25 22:07:46
meson-tools-0.1-r2.apk
7.52KB
2024-12-09 18:38:23
meson-tools-doc-0.1-r2.apk
8.30KB
2024-12-09 18:38:23
mesonlsp-4.3.7-r4.apk
2.00MB
2025-06-20 07:10:15
metadata-cleaner-2.5.6-r0.apk
49.12KB
2025-02-01 19:06:23
metadata-cleaner-doc-2.5.6-r0.apk
1.90MB
2025-02-01 19:06:23
metadata-cleaner-lang-2.5.6-r0.apk
65.91KB
2025-02-01 19:06:23
metalang99-1.13.3-r0.apk
54.30KB
2024-10-25 22:07:46
milkytracker-1.04.00-r2.apk
920.76KB
2024-10-25 22:07:46
milkytracker-doc-1.04.00-r2.apk
50.39KB
2024-10-25 22:07:46
mimalloc1-1.9.3-r1.apk
61.18KB
2025-05-18 19:00:30
mimalloc1-debug-1.9.3-r1.apk
200.01KB
2025-05-18 19:00:30
mimalloc1-dev-1.9.3-r1.apk
444.37KB
2025-05-18 19:00:30
mimalloc1-insecure-1.9.3-r1.apk
57.67KB
2025-05-18 19:00:30
mimedefang-3.6-r0.apk
152.89KB
2025-03-02 14:17:38
mimedefang-doc-3.6-r0.apk
80.40KB
2025-03-02 14:17:38
mimeo-2023-r2.apk
28.15KB
2024-10-25 22:07:47
mimeo-pyc-2023-r2.apk
41.55KB
2024-10-25 22:07:47
minidyndns-1.3.0-r3.apk
11.64KB
2024-10-25 22:07:47
minidyndns-doc-1.3.0-r3.apk
5.11KB
2024-10-25 22:07:47
minidyndns-openrc-1.3.0-r3.apk
1.85KB
2024-10-25 22:07:47
minigalaxy-1.4.0-r0.apk
197.27KB
2025-07-15 13:28:49
minigalaxy-pyc-1.4.0-r0.apk
134.56KB
2025-07-15 13:28:49
minimodem-0.24-r1.apk
18.65KB
2024-10-25 22:07:47
minimodem-doc-0.24-r1.apk
5.20KB
2024-10-25 22:07:47
minisatip-1.3.4-r0.apk
309.94KB
2024-10-25 22:07:47
minisatip-openrc-1.3.4-r0.apk
1.88KB
2024-10-25 22:07:47
mint-themes-2.3.1-r0.apk
1.84MB
2025-08-08 19:10:46
mint-themes-doc-2.3.1-r0.apk
14.25KB
2025-08-08 19:10:46
mint-x-icons-1.7.2-r0.apk
22.48MB
2024-12-24 12:07:13
mint-x-icons-doc-1.7.2-r0.apk
7.38KB
2024-12-24 12:07:13
mint-x-theme-2.3.1-r0.apk
2.76KB
2025-08-08 19:10:46
mint-x-theme-gtk2-2.3.1-r0.apk
452.70KB
2025-08-08 19:10:46
mint-x-theme-gtk3-2.3.1-r0.apk
597.72KB
2025-08-08 19:10:46
mint-x-theme-gtk4-2.3.1-r0.apk
503.48KB
2025-08-08 19:10:46
mint-x-theme-metacity-2.3.1-r0.apk
6.03KB
2025-08-08 19:10:46
mint-x-theme-xfwm4-2.3.1-r0.apk
31.30KB
2025-08-08 19:10:46
mint-y-icons-1.8.3-r0.apk
72.28MB
2025-02-06 00:11:45
mint-y-icons-doc-1.8.3-r0.apk
11.26KB
2025-02-06 00:11:45
mint-y-theme-2.3.1-r0.apk
3.54KB
2025-08-08 19:10:46
mint-y-theme-gtk2-2.3.1-r0.apk
594.82KB
2025-08-08 19:10:46
mint-y-theme-gtk3-2.3.1-r0.apk
1.98MB
2025-08-08 19:10:46
mint-y-theme-gtk4-2.3.1-r0.apk
1.66MB
2025-08-08 19:10:46
mint-y-theme-metacity-2.3.1-r0.apk
54.90KB
2025-08-08 19:10:46
mint-y-theme-xfwm4-2.3.1-r0.apk
203.41KB
2025-08-08 19:10:46
mir-2.22.1-r0.apk
1.97MB
2025-09-19 17:53:45
mir-demos-2.22.1-r0.apk
132.28KB
2025-09-19 17:53:45
mir-dev-2.22.1-r0.apk
7.92MB
2025-09-19 17:53:45
mir-test-tools-2.22.1-r0.apk
1.06MB
2025-09-19 17:53:45
mirrorhall-0.1.1-r2.apk
26.31KB
2025-08-09 03:22:05
mjpg-streamer-0_git20210220-r2.apk
178.37KB
2025-05-14 18:03:43
mjpg-streamer-input-raspicam-0_git20210220-r2.apk
14.71KB
2025-05-14 18:03:43
mkcert-1.4.4-r22.apk
1.82MB
2025-09-09 16:17:32
mkdocs-bootstrap-1.1.1-r2.apk
28.52KB
2024-10-25 22:07:49
mkdocs-bootstrap-pyc-1.1.1-r2.apk
1.81KB
2024-10-25 22:07:49
mkdocs-bootstrap386-0.0.2-r5.apk
791.25KB
2024-10-25 22:07:49
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
1.82KB
2024-10-25 22:07:49
mkdocs-bootstrap4-0.1.5-r5.apk
260.06KB
2024-10-25 22:07:49
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
1.81KB
2024-10-25 22:07:49
mkdocs-bootswatch-1.1-r5.apk
538.40KB
2024-10-25 22:07:49
mkdocs-bootswatch-pyc-1.1-r5.apk
4.72KB
2024-10-25 22:07:49
mkdocs-cinder-1.2.0-r5.apk
248.63KB
2024-10-25 22:07:49
mkdocs-cinder-pyc-1.2.0-r5.apk
1.80KB
2024-10-25 22:07:49
mkdocs-cluster-0.0.9-r5.apk
651.14KB
2024-10-25 22:07:49
mkdocs-cluster-pyc-0.0.9-r5.apk
1.81KB
2024-10-25 22:07:49
mkdocs-gitbook-0.0.1-r5.apk
644.13KB
2024-10-25 22:07:49
mkdocs-gitbook-pyc-0.0.1-r5.apk
1.81KB
2024-10-25 22:07:49
mkdocs-ivory-0.4.6-r5.apk
10.93KB
2024-10-25 22:07:49
mkdocs-ivory-pyc-0.4.6-r5.apk
1.80KB
2024-10-25 22:07:49
mkdocs-rtd-dropdown-1.0.2-r5.apk
248.37KB
2024-10-25 22:07:49
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
1.81KB
2024-10-25 22:07:49
mkdocs-windmill-1.0.5-r4.apk
944.06KB
2024-10-25 22:07:49
mkdocs-windmill-pyc-1.0.5-r4.apk
1.81KB
2024-10-25 22:07:49
mkdotenv-0.4.9-r0.apk
920.88KB
2025-09-19 17:53:45
mkg3a-0.5.0-r1.apk
14.81KB
2024-10-25 22:07:49
mkg3a-doc-0.5.0-r1.apk
3.06KB
2024-10-25 22:07:49
mkosi-25.3_git20250926-r0.apk
291.23KB
2025-09-26 23:44:11
mkosi-doc-25.3_git20250926-r0.apk
74.15KB
2025-09-26 23:44:11
mkosi-pyc-25.3_git20250926-r0.apk
435.40KB
2025-09-26 23:44:11
mktorrent-borg-0.9.9-r1.apk
9.49KB
2024-10-25 22:07:49
mktorrent-borg-doc-0.9.9-r1.apk
2.49KB
2024-10-25 22:07:49
mlxl-0.1-r0.apk
5.33KB
2024-10-25 22:07:49
mm-1.4.2-r1.apk
6.72KB
2024-10-25 22:07:49
mm-common-1.0.7-r0.apk
414.16KB
2025-06-27 19:39:46
mm-common-doc-1.0.7-r0.apk
33.48KB
2025-06-27 19:39:46
mm-dev-1.4.2-r1.apk
11.38KB
2024-10-25 22:07:49
mm-doc-1.4.2-r1.apk
14.31KB
2024-10-25 22:07:49
mmar-0.2.5-r1.apk
2.55MB
2025-05-12 10:06:32
mmix-0_git20221025-r0.apk
164.73KB
2024-10-25 22:07:49
mml-1.0.0-r0.apk
767.13KB
2024-10-25 22:07:49
mml-bash-completion-1.0.0-r0.apk
2.28KB
2024-10-25 22:07:49
mml-doc-1.0.0-r0.apk
3.89KB
2024-10-25 22:07:49
mml-fish-completion-1.0.0-r0.apk
2.26KB
2024-10-25 22:07:49
mml-zsh-completion-1.0.0-r0.apk
2.82KB
2024-10-25 22:07:49
mnamer-2.5.5-r1.apk
31.71KB
2024-10-25 22:07:49
mnamer-pyc-2.5.5-r1.apk
60.48KB
2024-10-25 22:07:49
mobpass-0.2-r6.apk
18.04KB
2024-10-25 22:07:49
mobpass-pyc-0.2-r6.apk
5.24KB
2024-10-25 22:07:49
mobroute-0.10.0-r3.apk
4.47MB
2025-09-09 16:17:32
mobroute-doc-0.10.0-r3.apk
1.34MB
2025-09-09 16:17:32
mod_dnssd-0.6-r1.apk
7.80KB
2025-08-09 03:22:05
modem-manager-gui-0.0.20-r0.apk
304.88KB
2024-10-25 22:07:49
modem-manager-gui-doc-0.0.20-r0.apk
3.94MB
2024-10-25 22:07:49
modem-manager-gui-lang-0.0.20-r0.apk
129.27KB
2024-10-25 22:07:49
mods-1.8.1-r1.apk
9.96MB
2025-09-09 16:17:32
mods-doc-1.8.1-r1.apk
2.25KB
2025-09-09 16:17:32
moe-1.14-r0.apk
91.79KB
2024-10-25 22:07:49
moe-doc-1.14-r0.apk
18.98KB
2024-10-25 22:07:49
moka-icon-theme-5.4.0-r2.apk
113.74MB
2024-10-25 22:07:51
monetdb-11.33.11-r4.apk
2.11MB
2024-10-25 22:07:51
monetdb-dev-11.33.11-r4.apk
77.10KB
2024-10-25 22:07:51
monetdb-doc-11.33.11-r4.apk
320.93KB
2024-10-25 22:07:51
mongo-cxx-driver-3.8.0-r0.apk
159.31KB
2024-10-25 22:07:51
mongo-cxx-driver-dev-3.8.0-r0.apk
88.86KB
2024-10-25 22:07:51
monopd-0.10.4-r0.apk
83.82KB
2025-01-11 13:11:30
monopd-openrc-0.10.4-r0.apk
1.68KB
2025-01-11 13:11:30
moon-buggy-1.0.51-r1.apk
32.01KB
2024-10-25 22:07:51
moon-buggy-doc-1.0.51-r1.apk
7.14KB
2024-10-25 22:07:51
moosefs-4.56.6-r2.apk
261.87KB
2025-06-20 07:10:15
moosefs-cgi-4.56.6-r2.apk
121.18KB
2025-06-20 07:10:15
moosefs-cgiserv-4.56.6-r2.apk
7.82KB
2025-06-20 07:10:15
moosefs-cgiserv-openrc-4.56.6-r2.apk
1.99KB
2025-06-20 07:10:15
moosefs-chunkserver-4.56.6-r2.apk
207.18KB
2025-06-20 07:10:15
moosefs-chunkserver-openrc-4.56.6-r2.apk
1.68KB
2025-06-20 07:10:15
moosefs-client-4.56.6-r2.apk
637.76KB
2025-06-20 07:10:15
moosefs-doc-4.56.6-r2.apk
95.17KB
2025-06-20 07:10:15
moosefs-master-4.56.6-r2.apk
339.89KB
2025-06-20 07:10:15
moosefs-master-openrc-4.56.6-r2.apk
1.67KB
2025-06-20 07:10:15
moosefs-metalogger-4.56.6-r2.apk
37.89KB
2025-06-20 07:10:15
moosefs-metalogger-openrc-4.56.6-r2.apk
1.67KB
2025-06-20 07:10:15
moosefs-static-4.56.6-r2.apk
704.27KB
2025-06-20 07:10:15
morph-browser-1.1.2-r0.apk
517.37KB
2025-01-26 03:34:55
morph-browser-lang-1.1.2-r0.apk
326.79KB
2025-01-26 03:34:55
motion-4.7.0-r1.apk
132.64KB
2025-08-27 19:17:11
motion-doc-4.7.0-r1.apk
139.65KB
2025-08-27 19:17:11
motion-lang-4.7.0-r1.apk
470.79KB
2025-08-27 19:17:12
motion-openrc-4.7.0-r1.apk
2.22KB
2025-08-27 19:17:12
mp3val-0.1.8-r1.apk
12.30KB
2024-10-25 22:07:51
mpdcron-0.3-r1.apk
90.03KB
2024-10-25 22:07:51
mpdcron-dev-0.3-r1.apk
52.46KB
2024-10-25 22:07:51
mpdcron-doc-0.3-r1.apk
13.37KB
2024-10-25 22:07:51
mpdcron-zsh-completion-0.3-r1.apk
2.88KB
2024-10-25 22:07:51
mpdris2-0.9.1-r3.apk
15.19KB
2024-10-25 22:07:51
mpdris2-doc-0.9.1-r3.apk
14.87KB
2024-10-25 22:07:51
mpdris2-lang-0.9.1-r3.apk
2.33KB
2024-10-25 22:07:51
mpv-sponsorblock-2.2.0-r0.apk
1.23MB
2025-06-20 07:10:15
mqtt2prometheus-0.1.7-r19.apk
4.40MB
2025-09-09 16:17:33
mrsh-0_git20210518-r1.apk
5.04KB
2024-10-25 22:07:51
mrsh-dbg-0_git20210518-r1.apk
206.04KB
2024-10-25 22:07:51
mrsh-dev-0_git20210518-r1.apk
9.99KB
2024-10-25 22:07:51
mrsh-libs-0_git20210518-r1.apk
49.86KB
2024-10-25 22:07:51
msgpuck-2.0-r1.apk
1.20KB
2024-10-25 22:07:51
msgpuck-dev-2.0-r1.apk
21.54KB
2024-10-25 22:07:51
msgpuck-doc-2.0-r1.apk
7.33KB
2024-10-25 22:07:51
msh-2.5.0-r15.apk
2.93MB
2025-09-09 16:17:33
msh-openrc-2.5.0-r15.apk
1.99KB
2025-09-09 16:17:33
mspdebug-0.25-r1.apk
160.72KB
2024-10-25 22:07:51
mspdebug-doc-0.25-r1.apk
14.21KB
2024-10-25 22:07:51
murex-7.0.2107-r3.apk
6.07MB
2025-09-09 16:17:33
murex-doc-7.0.2107-r3.apk
303.64KB
2025-09-09 16:17:33
muse-4.2.1-r2.apk
5.62MB
2025-05-12 14:42:11
muse-doc-4.2.1-r2.apk
4.11MB
2025-05-12 14:42:11
musikcube-3.0.4-r2.apk
1.91MB
2025-08-27 19:17:12
musikcube-dev-3.0.4-r2.apk
19.10KB
2025-08-27 19:17:12
musikcube-plugin-all-3.0.4-r2.apk
1.34KB
2025-08-27 19:17:12
musikcube-plugin-httpdatastream-3.0.4-r2.apk
64.80KB
2025-08-27 19:17:12
musikcube-plugin-mpris-3.0.4-r2.apk
18.18KB
2025-08-27 19:17:12
musikcube-plugin-openmpt-3.0.4-r2.apk
24.47KB
2025-08-27 19:17:12
musikcube-plugin-server-3.0.4-r2.apk
330.14KB
2025-08-27 19:17:12
musikcube-plugin-stockencoders-3.0.4-r2.apk
16.79KB
2025-08-27 19:17:12
musikcube-plugin-supereqdsp-3.0.4-r2.apk
21.87KB
2025-08-27 19:17:12
musikcube-plugin-taglibreader-3.0.4-r2.apk
29.55KB
2025-08-27 19:17:12
mxclient-0_git20211002-r1.apk
59.92KB
2024-10-25 22:07:52
n30f-2.0-r3.apk
6.33KB
2024-10-25 22:07:52
nano-hare-0_git20231021-r0.apk
2.15KB
2024-10-25 22:07:52
nauty-2.9.1-r0.apk
4.79MB
2025-09-09 16:17:33
nauty-dev-2.9.1-r0.apk
2.58MB
2025-09-09 16:17:33
nauty-libs-2.9.1-r0.apk
1.81MB
2025-09-09 16:17:33
nb-7.19.1-r0.apk
152.13KB
2025-05-25 01:10:08
nb-bash-completion-7.19.1-r0.apk
2.99KB
2025-05-25 01:10:08
nb-doc-7.19.1-r0.apk
77.47KB
2025-05-25 01:10:08
nb-fish-completion-7.19.1-r0.apk
2.80KB
2025-05-25 01:10:08
nb-full-7.19.1-r0.apk
1.30KB
2025-05-25 01:10:08
nb-zsh-completion-7.19.1-r0.apk
2.95KB
2025-05-25 01:10:08
nbsdgames-5-r0.apk
85.13KB
2024-10-25 22:07:52
nbsdgames-doc-5-r0.apk
9.39KB
2024-10-25 22:07:52
neard-0.19-r0.apk
123.83KB
2024-10-25 22:07:52
neard-dev-0.19-r0.apk
11.07KB
2024-10-25 22:07:52
neard-doc-0.19-r0.apk
5.59KB
2024-10-25 22:07:52
neard-openrc-0.19-r0.apk
1.69KB
2024-10-25 22:07:52
neko-2.3.0-r0.apk
421.20KB
2024-11-21 03:04:16
neko-dev-2.3.0-r0.apk
10.39KB
2024-11-21 03:04:16
neko-doc-2.3.0-r0.apk
19.92KB
2024-11-21 03:04:16
nemo-gtkhash-plugin-1.5-r0.apk
20.45KB
2024-10-25 22:07:52
neocmakelsp-0.8.25-r0.apk
1.46MB
2025-08-29 21:53:31
neocmakelsp-bash-completion-0.8.25-r0.apk
1.99KB
2025-08-29 21:53:31
neocmakelsp-doc-0.8.25-r0.apk
5.84KB
2025-08-29 21:53:31
neocmakelsp-fish-completion-0.8.25-r0.apk
1.63KB
2025-08-29 21:53:31
neocmakelsp-zsh-completion-0.8.25-r0.apk
1.83KB
2025-08-29 21:53:31
neofetch-7.1.0-r2.apk
85.66KB
2024-11-07 07:39:48
neofetch-doc-7.1.0-r2.apk
6.18KB
2024-11-07 07:39:48
nerdlog-1.10.0-r3.apk
2.79MB
2025-09-09 16:17:33
nerdlog-doc-1.10.0-r3.apk
13.41KB
2025-09-09 16:17:33
net-predictable-1.5.1-r3.apk
932.69KB
2025-09-09 16:17:33
net-predictable-doc-1.5.1-r3.apk
2.24KB
2025-09-09 16:17:33
netdiscover-0.21-r0.apk
546.20KB
2025-08-15 15:45:51
netdiscover-doc-0.21-r0.apk
4.21KB
2025-08-15 15:45:51
netscanner-0.5.1-r1.apk
3.39MB
2024-10-25 22:07:52
netscanner-doc-0.5.1-r1.apk
3.33KB
2024-10-25 22:07:52
netsed-1.4-r0.apk
9.15KB
2025-08-18 13:15:25
netstandard21-targeting-pack-6.0.136-r1.apk
1.88MB
2024-11-25 07:20:03
networkmanager-dmenu-2.6.1-r0.apk
13.80KB
2025-07-24 16:42:50
networkmanager-dmenu-doc-2.6.1-r0.apk
6.80KB
2025-07-24 16:42:50
newsyslog-1.2.0.91-r1.apk
17.97KB
2024-10-25 22:07:52
newsyslog-doc-1.2.0.91-r1.apk
23.96KB
2024-10-25 22:07:52
nextpnr-0.7-r0.apk
1.45KB
2024-10-25 22:07:52
nextpnr-ecp5-0.7-r0.apk
25.32MB
2024-10-25 22:07:53
nextpnr-generic-0.7-r0.apk
650.38KB
2024-10-25 22:07:53
nextpnr-gowin-0.7-r0.apk
1.39MB
2024-10-25 22:07:53
nextpnr-ice40-0.7-r0.apk
68.47MB
2024-10-25 22:07:54
nfcd-1.2.2-r1.apk
242.34KB
2025-08-09 03:22:05
nfcd-dev-1.2.2-r1.apk
24.10KB
2025-08-09 03:22:05
nfoview-2.1-r0.apk
38.67KB
2025-04-13 13:41:39
nfoview-doc-2.1-r0.apk
8.00KB
2025-04-13 13:41:39
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
712.73KB
2024-10-25 22:07:54
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
21.27KB
2024-10-25 22:07:54
nicotine-plus-3.3.10-r0.apk
1.56MB
2025-05-11 14:57:50
nicotine-plus-doc-3.3.10-r0.apk
2.58KB
2025-05-11 14:57:50
nicotine-plus-lang-3.3.10-r0.apk
757.05KB
2025-05-11 14:57:50
nicotine-plus-pyc-3.3.10-r0.apk
788.86KB
2025-05-11 14:57:50
nitro-2.7_beta8-r2.apk
473.44KB
2024-10-25 22:07:54
nitro-dev-2.7_beta8-r2.apk
189.96KB
2024-10-25 22:07:54
nitro-init-0.3-r0.apk
17.03KB
2025-09-16 07:50:29
nitro-init-doc-0.3-r0.apk
4.26KB
2025-09-16 07:50:29
nitrocli-0.4.1-r3.apk
376.55KB
2024-10-25 22:07:54
nitrocli-bash-completion-0.4.1-r3.apk
3.25KB
2024-10-25 22:07:54
nitrocli-doc-0.4.1-r3.apk
8.83KB
2024-10-25 22:07:54
nkk-0_git20221010-r0.apk
12.34KB
2024-10-25 22:07:54
nkk-dev-0_git20221010-r0.apk
2.90KB
2024-10-25 22:07:54
nkk-doc-0_git20221010-r0.apk
6.97KB
2024-10-25 22:07:54
nlopt-2.10.0-r1.apk
159.73KB
2025-06-04 22:34:33
nlopt-dev-2.10.0-r1.apk
11.99KB
2025-06-04 22:34:33
nlopt-doc-2.10.0-r1.apk
22.83KB
2025-06-04 22:34:33
nlopt-guile-2.10.0-r1.apk
40.02KB
2025-06-04 22:34:33
nlopt-octave-2.10.0-r1.apk
25.59KB
2025-06-04 22:34:33
nm-tray-0.5.1-r0.apk
88.45KB
2025-09-11 20:35:14
nm-tray-lang-0.5.1-r0.apk
27.25KB
2025-09-11 20:35:14
nmap-parse-output-1.5.1-r1.apk
20.49KB
2025-05-29 14:58:13
nmap-parse-output-bash-completion-1.5.1-r1.apk
1.98KB
2025-05-29 14:58:13
nmap-parse-output-doc-1.5.1-r1.apk
807.69KB
2025-05-29 14:58:13
noblenote-1.2.1-r1.apk
378.17KB
2024-10-25 22:07:54
noggin-0.1-r21.apk
1.35MB
2025-09-09 16:17:33
noggin-doc-0.1-r21.apk
2.91KB
2025-09-09 16:17:33
noggin-model-0.1-r0.apk
11.99MB
2024-10-25 22:07:54
noggin-model-lightweight-0.1-r0.apk
1.68MB
2024-10-25 22:07:54
noice-0.8-r1.apk
8.24KB
2024-10-25 22:07:54
noice-doc-0.8-r1.apk
3.36KB
2024-10-25 22:07:54
nom-2.8.0-r6.apk
6.88MB
2025-09-09 16:17:33
nom-doc-2.8.0-r6.apk
4.02KB
2025-09-09 16:17:33
nomadnet-0.8.0-r0.apk
143.29KB
2025-09-23 23:48:58
nomadnet-pyc-0.8.0-r0.apk
284.64KB
2025-09-23 23:48:58
normaliz-3.10.4-r2.apk
37.46KB
2025-06-13 07:56:04
normaliz-dev-3.10.4-r2.apk
72.56KB
2025-06-13 07:56:04
normaliz-libs-3.10.4-r2.apk
2.41MB
2025-06-13 07:56:04
notification-daemon-3.20.0-r1.apk
54.78KB
2025-07-02 01:04:13
nrf5-sdk-17.1.0-r0.apk
46.96MB
2025-08-19 22:54:05
nrf5-sdk-doc-17.1.0-r0.apk
3.63KB
2025-08-19 22:54:05
nsh-0.4.2-r1.apk
529.14KB
2024-10-25 22:07:55
nsh-dbg-0.4.2-r1.apk
3.33MB
2024-10-25 22:07:55
nsnake-3.0.0-r0.apk
8.16KB
2024-10-25 22:07:55
nsnake-doc-3.0.0-r0.apk
2.63KB
2024-10-25 22:07:55
nsq-1.3.0-r10.apk
25.26MB
2025-05-12 10:06:34
ntpd-rs-1.6.2-r0.apk
2.82MB
2025-08-30 00:59:26
ntpd-rs-doc-1.6.2-r0.apk
24.20KB
2025-08-30 00:59:26
ntpd-rs-openrc-1.6.2-r0.apk
1.91KB
2025-08-30 00:59:26
nuklear-4.12.0-r0.apk
219.81KB
2024-10-25 22:07:55
nuklear-doc-4.12.0-r0.apk
42.41KB
2024-10-25 22:07:55
nullmailer-2.2-r4.apk
73.87KB
2024-10-25 22:07:55
nullmailer-doc-2.2-r4.apk
10.22KB
2024-10-25 22:07:55
nullmailer-openrc-2.2-r4.apk
1.60KB
2024-10-25 22:07:55
numbat-1.16.0-r0.apk
1.68MB
2025-08-19 16:11:22
numbat-doc-1.16.0-r0.apk
31.95KB
2025-08-19 16:11:22
nuzzle-1.6-r0.apk
11.01KB
2025-01-25 09:04:13
nuzzle-doc-1.6-r0.apk
3.21KB
2025-01-25 09:04:13
nvim-cmp-0.0.0_git20221011-r1.apk
54.84KB
2024-10-25 22:07:55
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
7.81KB
2024-10-25 22:07:55
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
4.15KB
2024-10-25 22:07:55
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
3.32KB
2024-10-25 22:07:55
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
1.79KB
2024-10-25 22:07:55
nvim-cmp-doc-0.0.0_git20221011-r1.apk
10.46KB
2024-10-25 22:07:55
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
3.48KB
2024-10-25 22:07:55
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2.60KB
2024-10-25 22:07:55
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
3.52KB
2024-10-25 22:07:55
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2.04KB
2024-10-25 22:07:55
nvim-cmp-path-0.0.0_git20221002-r1.apk
3.77KB
2024-10-25 22:07:55
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
1.97KB
2024-10-25 22:07:55
nvim-gruvbox-0.0.0_git20221212-r1.apk
10.17KB
2024-10-25 22:07:55
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
2.79KB
2024-10-25 22:07:55
nvim-lualine-0.0.0_git20241101-r0.apk
59.55KB
2024-11-14 01:16:11
nvim-lualine-doc-0.0.0_git20241101-r0.apk
30.56KB
2024-11-14 01:16:11
nvim-packer-0.0.0_git20220910-r1.apk
45.61KB
2024-10-25 22:07:55
nvim-packer-doc-0.0.0_git20220910-r1.apk
21.18KB
2024-10-25 22:07:55
nvim-plenary-0.1.4_git20240917-r0.apk
102.00KB
2024-11-11 06:07:22
nvim-plenary-doc-0.1.4_git20240917-r0.apk
10.31KB
2024-11-11 06:07:22
nvim-web-devicons-0.100_git20241011-r0.apk
26.82KB
2024-11-11 05:57:59
nvim-web-devicons-doc-0.100_git20241011-r0.apk
7.12KB
2024-11-11 05:57:59
nvimpager-0.12.0-r0.apk
12.56KB
2024-10-25 22:07:55
nvimpager-doc-0.12.0-r0.apk
4.40KB
2024-10-25 22:07:55
nvimpager-zsh-completion-0.12.0-r0.apk
1.80KB
2024-10-25 22:07:55
nvtop-3.2.0-r0.apk
64.43KB
2025-05-11 14:57:50
nvtop-doc-3.2.0-r0.apk
3.53KB
2025-05-11 14:57:50
nwg-bar-0.1.6-r13.apk
1.50MB
2025-09-09 16:17:33
nwg-displays-0.3.26-r0.apk
26.66KB
2025-08-28 19:22:57
nwg-displays-pyc-0.3.26-r0.apk
36.05KB
2025-08-28 19:22:57
nwg-dock-0.4.3-r3.apk
1.66MB
2025-09-09 16:17:33
nwg-menu-0.1.9-r2.apk
1.60MB
2025-09-09 16:17:33
nwg-menu-doc-0.1.9-r2.apk
2.27KB
2025-09-09 16:17:33
nwg-panel-0.10.12-r0.apk
282.83KB
2025-07-31 10:28:04
nwg-panel-doc-0.10.12-r0.apk
4.44KB
2025-07-31 10:28:04
nwg-panel-pyc-0.10.12-r0.apk
269.80KB
2025-07-31 10:28:04
nwipe-0.38-r0.apk
268.13KB
2025-06-20 07:10:15
nwipe-doc-0.38-r0.apk
3.80KB
2025-06-20 07:10:15
nymphcast-mediaserver-0.1-r4.apk
57.53KB
2025-08-29 00:08:04
nymphcast-mediaserver-nftables-0.1-r4.apk
1.70KB
2025-08-29 00:08:04
nzbget-25.3-r0.apk
4.61MB
2025-09-23 23:48:58
nzbget-openrc-25.3-r0.apk
2.08KB
2025-09-23 23:48:58
oauth2-proxy-7.11.0-r2.apk
8.52MB
2025-09-09 16:17:33
oauth2-proxy-openrc-7.11.0-r2.apk
2.11KB
2025-09-09 16:17:33
objconv-2.52_git20210213-r2.apk
211.28KB
2024-10-25 22:07:55
oblibs-0.3.4.0-r0.apk
31.71KB
2025-06-01 18:47:04
oblibs-dbg-0.3.4.0-r0.apk
98.64KB
2025-06-01 18:47:04
oblibs-dev-0.3.4.0-r0.apk
233.05KB
2025-06-01 18:47:04
obnc-0.17.2-r0.apk
134.50KB
2025-05-26 02:37:11
obnc-doc-0.17.2-r0.apk
33.32KB
2025-05-26 02:37:11
ocaml-alcotest-1.5.0-r4.apk
459.97KB
2024-10-25 22:07:55
ocaml-alcotest-dev-1.5.0-r4.apk
820.98KB
2024-10-25 22:07:55
ocaml-amqp-client-2.3.0-r0.apk
605.36KB
2024-10-25 22:07:55
ocaml-amqp-client-dev-2.3.0-r0.apk
1.02MB
2024-10-25 22:07:55
ocaml-angstrom-0.16.0-r0.apk
176.11KB
2024-10-25 22:07:55
ocaml-angstrom-dev-0.16.0-r0.apk
340.96KB
2024-10-25 22:07:55
ocaml-arp-3.0.0-r3.apk
84.02KB
2024-10-25 22:07:55
ocaml-arp-dev-3.0.0-r3.apk
159.38KB
2024-10-25 22:07:55
ocaml-asn1-combinators-0.2.6-r2.apk
315.85KB
2024-10-25 22:07:55
ocaml-asn1-combinators-dev-0.2.6-r2.apk
573.82KB
2024-10-25 22:07:55
ocaml-astring-0.8.5-r2.apk
285.72KB
2024-10-25 22:07:55
ocaml-astring-dev-0.8.5-r2.apk
179.82KB
2024-10-25 22:07:56
ocaml-atd-2.15.0-r0.apk
6.61MB
2024-10-25 22:07:56
ocaml-atd-dev-2.15.0-r0.apk
1.90MB
2024-10-25 22:07:56
ocaml-base-0.16.3-r0.apk
4.58MB
2024-10-25 22:07:56
ocaml-base-dev-0.16.3-r0.apk
9.65MB
2024-10-25 22:07:56
ocaml-base64-3.5.0-r2.apk
89.29KB
2024-10-25 22:07:56
ocaml-base64-dev-3.5.0-r2.apk
175.15KB
2024-10-25 22:07:56
ocaml-bigarray-compat-1.1.0-r2.apk
12.34KB
2024-10-25 22:07:56
ocaml-bigarray-compat-dev-1.1.0-r2.apk
12.05KB
2024-10-25 22:07:56
ocaml-bigstringaf-0.9.0-r2.apk
46.24KB
2024-10-25 22:07:56
ocaml-bigstringaf-dev-0.9.0-r2.apk
91.08KB
2024-10-25 22:07:56
ocaml-biniou-1.2.1-r5.apk
555.29KB
2024-10-25 22:07:56
ocaml-biniou-dev-1.2.1-r5.apk
365.50KB
2024-10-25 22:07:56
ocaml-bisect_ppx-2.8.3-r0.apk
4.55MB
2024-10-25 22:07:56
ocaml-bisect_ppx-dev-2.8.3-r0.apk
551.34KB
2024-10-25 22:07:56
ocaml-bitstring-4.1.0-r3.apk
3.99MB
2024-10-25 22:07:56
ocaml-bitstring-dev-4.1.0-r3.apk
579.45KB
2024-10-25 22:07:56
ocaml-bos-0.2.1-r2.apk
461.35KB
2024-10-25 22:07:56
ocaml-bos-dev-0.2.1-r2.apk
350.87KB
2024-10-25 22:07:56
ocaml-ca-certs-0.2.2-r2.apk
28.34KB
2024-10-25 22:07:56
ocaml-ca-certs-dev-0.2.2-r2.apk
39.97KB
2024-10-25 22:07:56
ocaml-ca-certs-nss-3.89.1-r1.apk
394.60KB
2024-10-25 22:07:56
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
446.84KB
2024-10-25 22:07:56
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
1.48MB
2024-10-25 22:07:56
ocaml-cairo2-0.6.2-r2.apk
163.25KB
2024-10-25 22:07:56
ocaml-cairo2-dev-0.6.2-r2.apk
448.75KB
2024-10-25 22:07:56
ocaml-calendar-2.04-r4.apk
218.99KB
2024-10-25 22:07:56
ocaml-calendar-dev-2.04-r4.apk
162.41KB
2024-10-25 22:07:56
ocaml-calendar-doc-2.04-r4.apk
11.85KB
2024-10-25 22:07:56
ocaml-camlpdf-2.8.1-r0.apk
6.03MB
2025-05-11 14:57:51
ocaml-camlzip-1.11-r2.apk
113.42KB
2024-10-25 22:07:56
ocaml-camlzip-dev-1.11-r2.apk
219.53KB
2024-10-25 22:07:56
ocaml-camomile-1.0.2-r3.apk
1.32MB
2024-10-25 22:07:56
ocaml-camomile-data-1.0.2-r3.apk
5.06MB
2024-10-25 22:07:56
ocaml-camomile-dev-1.0.2-r3.apk
2.48MB
2024-10-25 22:07:56
ocaml-charinfo_width-1.1.0-r3.apk
103.96KB
2024-10-25 22:07:56
ocaml-charinfo_width-dev-1.1.0-r3.apk
189.35KB
2024-10-25 22:07:56
ocaml-cmdliner-1.1.1-r3.apk
468.51KB
2024-10-25 22:07:56
ocaml-cmdliner-dev-1.1.1-r3.apk
241.25KB
2024-10-25 22:07:56
ocaml-cmdliner-doc-1.1.1-r3.apk
19.74KB
2024-10-25 22:07:56
ocaml-cohttp-5.3.1-r0.apk
677.34KB
2024-10-25 22:07:56
ocaml-cohttp-dev-5.3.1-r0.apk
1.29MB
2024-10-25 22:07:56
ocaml-cohttp-tools-5.3.1-r0.apk
7.60MB
2024-10-25 22:07:57
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
76.72KB
2024-10-25 22:07:57
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
103.52KB
2024-10-25 22:07:57
ocaml-conduit-6.1.0-r0.apk
290.66KB
2024-10-25 22:07:57
ocaml-conduit-dev-6.1.0-r0.apk
548.89KB
2024-10-25 22:07:57
ocaml-containers-3.7-r2.apk
3.54MB
2024-10-25 22:07:57
ocaml-containers-dev-3.7-r2.apk
6.74MB
2024-10-25 22:07:57
ocaml-containers-top-3.7-r2.apk
22.43KB
2024-10-25 22:07:57
ocaml-cpdf-2.8.1-r0.apk
4.87MB
2025-05-11 14:57:51
ocaml-cstruct-6.1.0-r3.apk
4.10MB
2024-10-25 22:07:57
ocaml-cstruct-dev-6.1.0-r3.apk
709.26KB
2024-10-25 22:07:57
ocaml-ctypes-0.20.1-r2.apk
875.59KB
2024-10-25 22:07:57
ocaml-ctypes-dev-0.20.1-r2.apk
850.47KB
2024-10-25 22:07:57
ocaml-curses-1.0.10-r2.apk
133.90KB
2024-10-25 22:07:57
ocaml-curses-dev-1.0.10-r2.apk
312.11KB
2024-10-25 22:07:57
ocaml-dns-6.2.2-r3.apk
2.25MB
2024-10-25 22:07:57
ocaml-dns-dev-6.2.2-r3.apk
4.16MB
2024-10-25 22:07:57
ocaml-dns-tools-6.2.2-r3.apk
10.45MB
2024-10-25 22:07:57
ocaml-domain-name-0.4.0-r2.apk
74.17KB
2024-10-25 22:07:57
ocaml-domain-name-dev-0.4.0-r2.apk
141.44KB
2024-10-25 22:07:57
ocaml-down-0.1.0-r3.apk
626.70KB
2024-10-25 22:07:57
ocaml-down-dev-0.1.0-r3.apk
333.40KB
2024-10-25 22:07:57
ocaml-duration-0.2.0-r2.apk
26.84KB
2024-10-25 22:07:57
ocaml-duration-dev-0.2.0-r2.apk
44.59KB
2024-10-25 22:07:57
ocaml-easy-format-1.3.4-r1.apk
59.33KB
2024-10-25 22:07:57
ocaml-easy-format-dev-1.3.4-r1.apk
111.47KB
2024-10-25 22:07:57
ocaml-eqaf-0.8-r2.apk
68.44KB
2024-10-25 22:07:57
ocaml-eqaf-dev-0.8-r2.apk
119.95KB
2024-10-25 22:07:57
ocaml-erm_xml-0_git20211229-r2.apk
581.52KB
2024-10-25 22:07:57
ocaml-erm_xml-dev-0_git20211229-r2.apk
777.61KB
2024-10-25 22:07:57
ocaml-erm_xmpp-0_git20220404-r2.apk
1.31MB
2024-10-25 22:07:57
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
1.66MB
2024-10-25 22:07:57
ocaml-ethernet-3.0.0-r3.apk
42.54KB
2024-10-25 22:07:57
ocaml-ethernet-dev-3.0.0-r3.apk
82.74KB
2024-10-25 22:07:57
ocaml-extlib-1.7.9-r2.apk
636.94KB
2024-10-25 22:07:57
ocaml-extlib-dev-1.7.9-r2.apk
1.29MB
2024-10-25 22:07:57
ocaml-extlib-doc-1.7.9-r2.apk
10.59KB
2024-10-25 22:07:57
ocaml-ezxmlm-1.1.0-r0.apk
31.87KB
2024-10-25 22:07:57
ocaml-ezxmlm-dev-1.1.0-r0.apk
52.09KB
2024-10-25 22:07:57
ocaml-fileutils-0.6.4-r2.apk
313.73KB
2024-10-25 22:07:57
ocaml-fileutils-dev-0.6.4-r2.apk
598.02KB
2024-10-25 22:07:57
ocaml-fileutils-doc-0.6.4-r2.apk
15.78KB
2024-10-25 22:07:57
ocaml-fix-20220121-r2.apk
167.42KB
2024-10-25 22:07:57
ocaml-fix-dev-20220121-r2.apk
429.03KB
2024-10-25 22:07:57
ocaml-fmt-0.9.0-r2.apk
190.92KB
2024-10-25 22:07:57
ocaml-fmt-dev-0.9.0-r2.apk
126.38KB
2024-10-25 22:07:57
ocaml-fpath-0.7.3-r2.apk
138.60KB
2024-10-25 22:07:57
ocaml-fpath-dev-0.7.3-r2.apk
103.21KB
2024-10-25 22:07:57
ocaml-gen-1.1-r1.apk
323.28KB
2024-10-25 22:07:57
ocaml-gen-dev-1.1-r1.apk
612.73KB
2024-10-25 22:07:57
ocaml-gettext-0.4.2-r3.apk
3.40MB
2024-10-25 22:07:57
ocaml-gettext-dev-0.4.2-r3.apk
724.75KB
2024-10-25 22:07:57
ocaml-gettext-doc-0.4.2-r3.apk
19.16KB
2024-10-25 22:07:57
ocaml-gitlab-0.1.8-r0.apk
3.01MB
2024-10-25 22:07:58
ocaml-gitlab-dev-0.1.8-r0.apk
11.57MB
2024-10-25 22:07:58
ocaml-gmap-0.3.0-r2.apk
36.43KB
2024-10-25 22:07:58
ocaml-gmap-dev-0.3.0-r2.apk
74.70KB
2024-10-25 22:07:58
ocaml-happy-eyeballs-0.3.0-r2.apk
84.35KB
2024-10-25 22:07:58
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
148.92KB
2024-10-25 22:07:58
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
38.96KB
2024-10-25 22:07:58
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
59.11KB
2024-10-25 22:07:58
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
2.41MB
2024-10-25 22:07:58
ocaml-hex-1.5.0-r2.apk
29.33KB
2024-10-25 22:07:58
ocaml-hex-dev-1.5.0-r2.apk
53.49KB
2024-10-25 22:07:58
ocaml-higlo-0.9-r0.apk
4.91MB
2024-10-25 22:07:58
ocaml-higlo-dev-0.9-r0.apk
677.10KB
2024-10-25 22:07:58
ocaml-hkdf-1.0.4-r2.apk
13.95KB
2024-10-25 22:07:58
ocaml-hkdf-dev-1.0.4-r2.apk
18.26KB
2024-10-25 22:07:58
ocaml-integers-0.7.0-r2.apk
127.97KB
2024-10-25 22:07:58
ocaml-integers-dev-0.7.0-r2.apk
273.74KB
2024-10-25 22:07:58
ocaml-ipaddr-5.3.1-r2.apk
313.17KB
2024-10-25 22:07:58
ocaml-ipaddr-dev-5.3.1-r2.apk
562.15KB
2024-10-25 22:07:58
ocaml-iri-1.0.0-r0.apk
1.84MB
2024-10-25 22:07:58
ocaml-iri-dev-1.0.0-r0.apk
770.41KB
2024-10-25 22:07:58
ocaml-iso8601-0.2.6-r0.apk
52.07KB
2024-10-25 22:07:58
ocaml-iso8601-dev-0.2.6-r0.apk
87.45KB
2024-10-25 22:07:58
ocaml-jsonm-1.0.2-r0.apk
118.72KB
2024-10-25 22:07:58
ocaml-jsonm-dev-1.0.2-r0.apk
67.21KB
2024-10-25 22:07:58
ocaml-jsonm-tools-1.0.2-r0.apk
435.07KB
2024-10-25 22:07:58
ocaml-lablgtk3-3.1.2-r3.apk
7.85MB
2024-10-25 22:07:58
ocaml-lablgtk3-dev-3.1.2-r3.apk
13.81MB
2024-10-25 22:07:58
ocaml-lablgtk3-extras-3.0.1-r2.apk
881.33KB
2024-10-25 22:07:58
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
1.53MB
2024-10-25 22:07:58
ocaml-labltk-8.06.12-r2.apk
2.87MB
2024-10-25 22:07:58
ocaml-labltk-dev-8.06.12-r2.apk
1.48MB
2024-10-25 22:07:58
ocaml-lambda-term-3.2.0-r4.apk
3.43MB
2024-10-25 22:07:59
ocaml-lambda-term-dev-3.2.0-r4.apk
3.84MB
2024-10-25 22:07:59
ocaml-lambda-term-doc-3.2.0-r4.apk
8.78KB
2024-10-25 22:07:59
ocaml-lambdasoup-0.7.3-r2.apk
189.85KB
2024-10-25 22:07:59
ocaml-lambdasoup-dev-0.7.3-r2.apk
348.49KB
2024-10-25 22:07:59
ocaml-libvirt-0.6.1.7-r0.apk
173.53KB
2024-10-25 22:07:59
ocaml-libvirt-dev-0.6.1.7-r0.apk
97.27KB
2024-10-25 22:07:59
ocaml-libvirt-doc-0.6.1.7-r0.apk
13.70KB
2024-10-25 22:07:59
ocaml-logs-0.7.0-r3.apk
114.03KB
2024-10-25 22:07:59
ocaml-logs-dev-0.7.0-r3.apk
85.09KB
2024-10-25 22:07:59
ocaml-lru-0.3.0-r2.apk
75.74KB
2024-10-25 22:07:59
ocaml-lru-dev-0.3.0-r2.apk
162.43KB
2024-10-25 22:07:59
ocaml-lwd-0.3-r0.apk
490.18KB
2024-10-25 22:07:59
ocaml-lwd-dev-0.3-r0.apk
1.00MB
2024-10-25 22:07:59
ocaml-lwt-5.7.0-r0.apk
1.20MB
2024-10-25 22:07:59
ocaml-lwt-dev-5.7.0-r0.apk
3.12MB
2024-10-25 22:07:59
ocaml-lwt-dllist-1.0.1-r3.apk
22.53KB
2024-10-25 22:07:59
ocaml-lwt-dllist-dev-1.0.1-r3.apk
39.67KB
2024-10-25 22:07:59
ocaml-lwt_log-1.1.1-r5.apk
132.33KB
2024-10-25 22:07:59
ocaml-lwt_log-dev-1.1.1-r5.apk
255.70KB
2024-10-25 22:07:59
ocaml-lwt_ppx-5.7.0-r0.apk
3.77MB
2024-10-25 22:07:59
ocaml-lwt_react-5.7.0-r0.apk
119.65KB
2024-10-25 22:07:59
ocaml-lwt_ssl-1.2.0-r0.apk
29.23KB
2024-10-25 22:07:59
ocaml-lwt_ssl-dev-1.2.0-r0.apk
46.82KB
2024-10-25 22:07:59
ocaml-magic-mime-1.3.1-r0.apk
222.84KB
2024-10-25 22:07:59
ocaml-magic-mime-dev-1.3.1-r0.apk
320.66KB
2024-10-25 22:07:59
ocaml-markup-1.0.3-r3.apk
1.00MB
2024-10-25 22:07:59
ocaml-markup-dev-1.0.3-r3.apk
2.09MB
2024-10-25 22:07:59
ocaml-menhir-20220210-r3.apk
1.27MB
2024-12-08 18:19:58
ocaml-menhir-dev-20220210-r3.apk
898.10KB
2024-12-08 18:19:58
ocaml-menhir-doc-20220210-r3.apk
609.85KB
2024-12-08 18:19:58
ocaml-merlin-extend-0.6.1-r2.apk
48.95KB
2024-10-25 22:07:59
ocaml-merlin-extend-dev-0.6.1-r2.apk
91.00KB
2024-10-25 22:07:59
ocaml-metrics-0.4.0-r3.apk
244.65KB
2024-10-25 22:07:59
ocaml-metrics-dev-0.4.0-r3.apk
449.85KB
2024-10-25 22:07:59
ocaml-mew-0.1.0-r3.apk
68.72KB
2024-10-25 22:07:59
ocaml-mew-dev-0.1.0-r3.apk
95.85KB
2024-10-25 22:07:59
ocaml-mew_vi-0.5.0-r3.apk
185.23KB
2024-10-25 22:07:59
ocaml-mew_vi-dev-0.5.0-r3.apk
296.43KB
2024-10-25 22:07:59
ocaml-mikmatch-1.0.9-r2.apk
145.44KB
2024-10-25 22:07:59
ocaml-mirage-clock-4.2.0-r2.apk
27.66KB
2024-10-25 22:07:59
ocaml-mirage-clock-dev-4.2.0-r2.apk
33.92KB
2024-10-25 22:07:59
ocaml-mirage-crypto-0.10.6-r3.apk
1.06MB
2024-10-25 22:07:59
ocaml-mirage-crypto-dev-0.10.6-r3.apk
5.18MB
2024-10-25 22:07:59
ocaml-mirage-flow-3.0.0-r3.apk
110.05KB
2024-10-25 22:07:59
ocaml-mirage-flow-dev-3.0.0-r3.apk
193.29KB
2024-10-25 22:07:59
ocaml-mirage-kv-4.0.1-r3.apk
20.09KB
2024-10-25 22:07:59
ocaml-mirage-kv-dev-4.0.1-r3.apk
42.94KB
2024-10-25 22:07:59
ocaml-mirage-net-4.0.0-r3.apk
12.26KB
2024-10-25 22:07:59
ocaml-mirage-net-dev-4.0.0-r3.apk
19.55KB
2024-10-25 22:07:59
ocaml-mirage-profile-0.9.1-r3.apk
24.03KB
2024-10-25 22:07:59
ocaml-mirage-profile-dev-0.9.1-r3.apk
30.88KB
2024-10-25 22:07:59
ocaml-mirage-random-3.0.0-r3.apk
6.99KB
2024-10-25 22:07:59
ocaml-mirage-random-dev-3.0.0-r3.apk
5.38KB
2024-10-25 22:07:59
ocaml-mirage-time-3.0.0-r4.apk
9.93KB
2024-10-25 22:07:59
ocaml-mirage-time-dev-3.0.0-r4.apk
8.18KB
2024-10-25 22:07:59
ocaml-mmap-1.2.0-r3.apk
7.16KB
2024-10-25 22:07:59
ocaml-mmap-dev-1.2.0-r3.apk
6.50KB
2024-10-25 22:07:59
ocaml-mqtt-0.2.2-r0.apk
156.11KB
2024-10-25 22:07:59
ocaml-mqtt-dev-0.2.2-r0.apk
258.50KB
2024-10-25 22:07:59
ocaml-mtime-1.4.0-r2.apk
48.62KB
2024-10-25 22:07:59
ocaml-mtime-dev-1.4.0-r2.apk
48.15KB
2024-10-25 22:07:59
ocaml-notty-0.2.3-r0.apk
307.84KB
2024-10-25 22:07:59
ocaml-notty-dev-0.2.3-r0.apk
607.89KB
2024-10-25 22:07:59
ocaml-num-1.4-r3.apk
255.56KB
2024-10-25 22:07:59
ocaml-num-dev-1.4-r3.apk
94.34KB
2024-10-25 22:07:59
ocaml-obuild-0.1.11-r0.apk
1.05MB
2024-10-25 22:07:59
ocaml-ocf-0.8.0-r3.apk
7.81MB
2024-10-25 22:07:59
ocaml-ocf-dev-0.8.0-r3.apk
323.86KB
2024-10-25 22:07:59
ocaml-ocp-indent-1.8.2-r2.apk
537.72KB
2024-10-25 22:07:59
ocaml-ocp-indent-dev-1.8.2-r2.apk
638.35KB
2024-10-25 22:07:59
ocaml-ocp-index-1.3.6-r0.apk
460.95KB
2024-10-25 22:07:59
ocaml-ocp-index-dev-1.3.6-r0.apk
529.27KB
2024-10-25 22:07:59
ocaml-ocplib-endian-1.2-r3.apk
157.16KB
2024-10-25 22:07:59
ocaml-ocplib-endian-dev-1.2-r3.apk
260.73KB
2024-10-25 22:07:59
ocaml-omake-0.10.6-r0.apk
1.61MB
2024-10-25 22:07:59
ocaml-omake-doc-0.10.6-r0.apk
8.01KB
2024-10-25 22:07:59
ocaml-omod-0.0.3-r3.apk
330.07KB
2024-10-25 22:07:59
ocaml-omod-bin-0.0.3-r3.apk
2.68MB
2024-10-25 22:07:59
ocaml-omod-dev-0.0.3-r3.apk
214.14KB
2024-10-25 22:07:59
ocaml-otoml-1.0.5-r0.apk
443.69KB
2024-10-25 22:07:59
ocaml-otoml-dev-1.0.5-r0.apk
780.45KB
2024-10-25 22:07:59
ocaml-otr-0.3.10-r2.apk
283.56KB
2024-10-25 22:07:59
ocaml-otr-dev-0.3.10-r2.apk
526.96KB
2024-10-25 22:07:59
ocaml-ounit-2.2.7-r3.apk
536.48KB
2024-10-25 22:07:59
ocaml-ounit-dev-2.2.7-r3.apk
1.04MB
2024-10-25 22:07:59
ocaml-parsexp-0.16.0-r0.apk
398.25KB
2024-10-25 22:07:59
ocaml-parsexp-dev-0.16.0-r0.apk
937.90KB
2024-10-25 22:07:59
ocaml-pbkdf-1.2.0-r2.apk
17.42KB
2024-10-25 22:07:59
ocaml-pbkdf-dev-1.2.0-r2.apk
25.23KB
2024-10-25 22:07:59
ocaml-pcre-7.5.0-r4.apk
146.83KB
2024-10-25 22:07:59
ocaml-pcre-dev-7.5.0-r4.apk
302.30KB
2024-10-25 22:07:59
ocaml-ppx_blob-0.8.0-r0.apk
3.68MB
2024-10-25 22:08:00
ocaml-ppx_blob-dev-0.8.0-r0.apk
18.75KB
2024-10-25 22:08:00
ocaml-ppx_derivers-1.2.1-r2.apk
9.47KB
2024-10-25 22:08:00
ocaml-ppx_derivers-dev-1.2.1-r2.apk
11.16KB
2024-10-25 22:08:00
ocaml-ppx_deriving-5.3.0-r0.apk
4.94MB
2024-10-25 22:08:00
ocaml-ppx_deriving-dev-5.3.0-r0.apk
1.32MB
2024-10-25 22:08:00
ocaml-ppx_sexp_conv-0.16.0-r0.apk
579.62KB
2024-10-25 22:08:00
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
1.15MB
2024-10-25 22:08:00
ocaml-ppxlib-0.32.0-r0.apk
12.75MB
2024-10-25 22:08:00
ocaml-ppxlib-dev-0.32.0-r0.apk
16.12MB
2024-10-25 22:08:00
ocaml-psq-0.2.0-r2.apk
96.90KB
2024-10-25 22:08:00
ocaml-psq-dev-0.2.0-r2.apk
173.67KB
2024-10-25 22:08:00
ocaml-ptime-1.0.0-r2.apk
112.50KB
2024-10-25 22:08:00
ocaml-ptime-dev-1.0.0-r2.apk
75.76KB
2024-10-25 22:08:00
ocaml-ptmap-2.0.5-r3.apk
53.68KB
2024-10-25 22:08:00
ocaml-ptmap-dev-2.0.5-r3.apk
94.02KB
2024-10-25 22:08:00
ocaml-qcheck-0.18.1-r3.apk
668.04KB
2024-10-25 22:08:00
ocaml-qcheck-dev-0.18.1-r3.apk
1.35MB
2024-10-25 22:08:00
ocaml-qtest-2.11.2-r3.apk
317.28KB
2024-10-25 22:08:00
ocaml-qtest-dev-2.11.2-r3.apk
3.61KB
2024-10-25 22:08:00
ocaml-randomconv-0.1.3-r2.apk
13.55KB
2024-10-25 22:08:00
ocaml-randomconv-dev-0.1.3-r2.apk
17.72KB
2024-10-25 22:08:00
ocaml-re-1.11.0-r1.apk
523.62KB
2024-10-25 22:08:00
ocaml-re-dev-1.11.0-r1.apk
974.66KB
2024-10-25 22:08:00
ocaml-react-1.2.2-r2.apk
283.00KB
2024-10-25 22:08:00
ocaml-react-dev-1.2.2-r2.apk
198.05KB
2024-10-25 22:08:00
ocaml-reason-3.8.2-r1.apk
15.31MB
2024-10-25 22:08:00
ocaml-reason-dev-3.8.2-r1.apk
28.77MB
2024-10-25 22:08:01
ocaml-result-1.5-r2.apk
9.02KB
2024-10-25 22:08:01
ocaml-result-dev-1.5-r2.apk
7.94KB
2024-10-25 22:08:01
ocaml-rresult-0.7.0-r2.apk
38.08KB
2024-10-25 22:08:01
ocaml-rresult-dev-0.7.0-r2.apk
34.71KB
2024-10-25 22:08:01
ocaml-sedlex-3.2-r0.apk
4.12MB
2024-10-25 22:08:01
ocaml-sedlex-dev-3.2-r0.apk
1.50MB
2024-10-25 22:08:01
ocaml-seq-0.3.1-r2.apk
13.89KB
2024-10-25 22:08:01
ocaml-seq-dev-0.3.1-r2.apk
19.88KB
2024-10-25 22:08:01
ocaml-sexplib-0.16.0-r0.apk
486.30KB
2024-10-25 22:08:01
ocaml-sexplib-dev-0.16.0-r0.apk
821.70KB
2024-10-25 22:08:01
ocaml-sexplib0-0.16.0-r0.apk
170.69KB
2024-10-25 22:08:01
ocaml-sexplib0-dev-0.16.0-r0.apk
334.65KB
2024-10-25 22:08:01
ocaml-sha-1.15.4-r0.apk
89.42KB
2024-10-25 22:08:01
ocaml-sha-dev-1.15.4-r0.apk
247.28KB
2024-10-25 22:08:01
ocaml-ssl-0.7.0-r0.apk
92.70KB
2024-10-25 22:08:01
ocaml-ssl-dev-0.7.0-r0.apk
207.82KB
2024-10-25 22:08:01
ocaml-stdlib-shims-0.3.0-r2.apk
4.19KB
2024-10-25 22:08:01
ocaml-stk-0.1.0-r0.apk
6.09MB
2024-10-25 22:08:01
ocaml-stk-dev-0.1.0-r0.apk
9.89MB
2024-10-25 22:08:01
ocaml-stringext-1.6.0-r2.apk
42.79KB
2024-10-25 22:08:01
ocaml-stringext-dev-1.6.0-r2.apk
77.76KB
2024-10-25 22:08:01
ocaml-tcpip-7.1.2-r3.apk
1.21MB
2024-10-25 22:08:01
ocaml-tcpip-dev-7.1.2-r3.apk
2.41MB
2024-10-25 22:08:01
ocaml-tls-0.15.3-r4.apk
1.24MB
2024-10-25 22:08:01
ocaml-tls-dev-0.15.3-r4.apk
2.36MB
2024-10-25 22:08:01
ocaml-tophide-1.0.4-r2.apk
5.63KB
2024-10-25 22:08:01
ocaml-topkg-1.0.5-r2.apk
613.23KB
2024-10-25 22:08:01
ocaml-topkg-dev-1.0.5-r2.apk
406.66KB
2024-10-25 22:08:01
ocaml-trie-1.0.0-r2.apk
15.73KB
2024-10-25 22:08:01
ocaml-trie-dev-1.0.0-r2.apk
25.28KB
2024-10-25 22:08:01
ocaml-tsdl-1.0.0-r0.apk
919.36KB
2024-10-25 22:08:01
ocaml-tsdl-dev-1.0.0-r0.apk
575.79KB
2024-10-25 22:08:01
ocaml-tsdl-image-0.6-r0.apk
47.42KB
2024-10-25 22:08:01
ocaml-tsdl-image-dev-0.6-r0.apk
77.63KB
2024-10-25 22:08:01
ocaml-tsdl-ttf-0.6-r0.apk
62.20KB
2024-10-25 22:08:01
ocaml-tsdl-ttf-dev-0.6-r0.apk
109.09KB
2024-10-25 22:08:01
ocaml-uri-4.2.0-r2.apk
1.40MB
2024-10-25 22:08:01
ocaml-uri-dev-4.2.0-r2.apk
4.27MB
2024-10-25 22:08:01
ocaml-utop-2.9.1-r4.apk
349.21KB
2024-10-25 22:08:01
ocaml-utop-dev-2.9.1-r4.apk
762.76KB
2024-10-25 22:08:01
ocaml-uucd-14.0.0-r2.apk
278.74KB
2024-10-25 22:08:01
ocaml-uucd-dev-14.0.0-r2.apk
172.41KB
2024-10-25 22:08:01
ocaml-uucp-14.0.0-r2.apk
5.10MB
2024-10-25 22:08:01
ocaml-uucp-dev-14.0.0-r2.apk
1.10MB
2024-10-25 22:08:01
ocaml-uuidm-0.9.8-r2.apk
45.30KB
2024-10-25 22:08:01
ocaml-uuidm-dev-0.9.8-r2.apk
24.81KB
2024-10-25 22:08:01
ocaml-uuidm-tools-0.9.8-r2.apk
433.37KB
2024-10-25 22:08:01
ocaml-uunf-14.0.0-r2.apk
1.14MB
2024-10-25 22:08:01
ocaml-uunf-dev-14.0.0-r2.apk
222.46KB
2024-10-25 22:08:01
ocaml-uuseg-14.0.0-r2.apk
92.08KB
2024-10-25 22:08:01
ocaml-uuseg-dev-14.0.0-r2.apk
46.00KB
2024-10-25 22:08:01
ocaml-uuseg-tools-14.0.0-r2.apk
1.28MB
2024-10-25 22:08:01
ocaml-uutf-1.0.3-r2.apk
610.08KB
2024-10-25 22:08:01
ocaml-uutf-dev-1.0.3-r2.apk
55.24KB
2024-10-25 22:08:01
ocaml-x509-0.16.0-r2.apk
835.54KB
2024-10-25 22:08:01
ocaml-x509-dev-0.16.0-r2.apk
1.53MB
2024-10-25 22:08:01
ocaml-xml-light-2.5-r0.apk
216.68KB
2024-10-25 22:08:01
ocaml-xml-light-dev-2.5-r0.apk
385.55KB
2024-10-25 22:08:01
ocaml-xmlm-1.4.0-r2.apk
547.49KB
2024-10-25 22:08:01
ocaml-xmlm-dev-1.4.0-r2.apk
99.68KB
2024-10-25 22:08:01
ocaml-xtmpl-0.19.0-r0.apk
8.85MB
2024-10-25 22:08:01
ocaml-xtmpl-dev-0.19.0-r0.apk
776.77KB
2024-10-25 22:08:01
ocaml-yojson-2.1.2-r0.apk
1.03MB
2024-10-25 22:08:01
ocaml-yojson-dev-2.1.2-r0.apk
1.67MB
2024-10-25 22:08:01
ocaml-zed-3.1.0-r3.apk
518.43KB
2024-10-25 22:08:01
ocaml-zed-dev-3.1.0-r3.apk
1.13MB
2024-10-25 22:08:01
ocamlnet-4.1.9-r2.apk
16.49MB
2024-10-25 22:08:02
ocamlnet-dev-4.1.9-r2.apk
5.88MB
2024-10-25 22:08:02
ocamlnet-tcl-4.1.9-r2.apk
49.51KB
2024-10-25 22:08:02
ocfs2-tools-1.8.7-r4.apk
1.02MB
2024-10-25 22:08:02
ocfs2-tools-dev-1.8.7-r4.apk
44.94KB
2024-10-25 22:08:02
ocfs2-tools-doc-1.8.7-r4.apk
69.35KB
2024-10-25 22:08:02
ocp-indent-1.8.2-r2.apk
1.09MB
2024-10-25 22:08:02
ocp-indent-doc-1.8.2-r2.apk
16.96KB
2024-10-25 22:08:02
ocp-indent-emacs-1.8.2-r2.apk
3.71KB
2024-10-25 22:08:02
ocp-indent-vim-1.8.2-r2.apk
2.39KB
2024-10-25 22:08:02
ocp-index-1.3.6-r0.apk
5.45MB
2024-10-25 22:08:02
ocp-index-doc-1.3.6-r0.apk
30.64KB
2024-10-25 22:08:02
ocp-index-emacs-1.3.6-r0.apk
6.27KB
2024-10-25 22:08:02
ocp-index-vim-1.3.6-r0.apk
3.04KB
2024-10-25 22:08:02
octoprint-1.10.3-r0.apk
3.03MB
2024-12-26 00:04:02
octoprint-creality2xfix-0.0.4-r2.apk
4.71KB
2024-10-25 22:08:02
octoprint-creality2xfix-pyc-0.0.4-r2.apk
3.33KB
2024-10-25 22:08:02
octoprint-filecheck-2024.11.12-r0.apk
27.99KB
2025-07-15 13:28:49
octoprint-filecheck-pyc-2024.11.12-r0.apk
11.64KB
2025-07-15 13:28:49
octoprint-firmwarecheck-2021.10.11-r2.apk
29.30KB
2024-10-25 22:08:02
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
17.59KB
2024-10-25 22:08:02
octoprint-openrc-1.10.3-r0.apk
1.71KB
2024-12-26 00:04:02
octoprint-pisupport-2023.10.10-r1.apk
30.83KB
2024-10-25 22:08:02
octoprint-pisupport-pyc-2023.10.10-r1.apk
12.81KB
2024-10-25 22:08:02
octoprint-pyc-1.10.3-r0.apk
1.24MB
2024-12-26 00:04:02
oil-0.21.0-r0.apk
1.40MB
2024-10-25 22:08:02
oil-doc-0.21.0-r0.apk
6.97KB
2024-10-25 22:08:02
oils-for-unix-0.34.0-r1.apk
645.66KB
2025-09-09 16:17:33
oils-for-unix-bash-0.34.0-r1.apk
1.67KB
2025-09-09 16:17:33
oils-for-unix-binsh-0.34.0-r1.apk
1.52KB
2025-09-09 16:17:33
oils-for-unix-doc-0.34.0-r1.apk
7.02KB
2025-09-09 16:17:33
ol-2.6-r0.apk
1.01MB
2025-05-11 14:57:51
ol-dev-2.6-r0.apk
15.83KB
2025-05-11 14:57:51
ol-doc-2.6-r0.apk
2.58KB
2025-05-11 14:57:51
olab-0.1.8-r0.apk
3.40MB
2024-10-25 22:08:02
olsrd-0.9.8-r3.apk
155.74KB
2024-10-25 22:08:02
olsrd-doc-0.9.8-r3.apk
25.07KB
2024-10-25 22:08:02
olsrd-openrc-0.9.8-r3.apk
1.88KB
2024-10-25 22:08:02
olsrd-plugins-0.9.8-r3.apk
164.42KB
2024-10-25 22:08:02
onionshare-2.6.3-r0.apk
179.66KB
2025-09-09 16:17:33
onionshare-desktop-2.6.3-r0.apk
1.30MB
2025-09-09 16:17:33
onionshare-pyc-2.6.3-r0.apk
240.59KB
2025-09-09 16:17:33
oniux-0.6.1-r0.apk
5.42MB
2025-09-09 16:17:33
oniux-doc-0.6.1-r0.apk
3.26KB
2025-09-09 16:17:33
onnxruntime-1.22.2-r0.apk
4.95MB
2025-08-29 13:05:25
onnxruntime-dev-1.22.2-r0.apk
114.81KB
2025-08-29 13:05:25
opatch-7.6f-r0.apk
25.62KB
2025-09-26 09:13:40
opatch-doc-7.6f-r0.apk
9.12KB
2025-09-26 09:13:40
opcr-policy-0.3.0-r7.apk
8.67MB
2025-09-09 16:17:33
openapi-tui-0.9.4-r1.apk
3.58MB
2024-10-25 22:08:03
openapi-validator-1.19.2-r0.apk
9.94MB
2024-10-25 22:08:03
openconnect-sso-0.8.0_git20230822-r0.apk
29.25KB
2025-05-14 12:13:41
openconnect-sso-pyc-0.8.0_git20230822-r0.apk
29.27KB
2025-05-14 12:13:41
opendht-3.1.11-r0.apk
161.52KB
2025-01-27 22:56:37
opendht-dev-3.1.11-r0.apk
70.89KB
2025-01-27 22:56:37
opendht-doc-3.1.11-r0.apk
3.02KB
2025-01-27 22:56:37
opendht-libs-3.1.11-r0.apk
512.10KB
2025-01-27 22:56:37
openfortivpn-1.22.1-r0.apk
38.20KB
2024-12-11 23:31:54
openfortivpn-doc-1.22.1-r0.apk
6.30KB
2024-12-11 23:31:54
openfpgaloader-0.11.0-r0.apk
1.94MB
2024-10-25 22:08:03
openocd-esp32-0_git20250422-r1.apk
1.86MB
2025-07-05 22:52:20
openocd-esp32-dev-0_git20250422-r1.apk
3.66KB
2025-07-05 22:52:20
openocd-esp32-doc-0_git20250422-r1.apk
3.21KB
2025-07-05 22:52:20
openocd-esp32-udev-rules-0_git20250422-r1.apk
3.48KB
2025-07-05 22:52:20
openocd-git-0_git20240113-r1.apk
1.57MB
2024-10-25 22:08:03
openocd-git-cmd-openocd-0_git20240113-r1.apk
1.50KB
2024-10-25 22:08:03
openocd-git-dbg-0_git20240113-r1.apk
4.15MB
2024-10-25 22:08:03
openocd-git-dev-0_git20240113-r1.apk
3.42KB
2024-10-25 22:08:03
openocd-git-doc-0_git20240113-r1.apk
3.29KB
2024-10-25 22:08:03
openocd-git-udev-rules-0_git20240113-r1.apk
3.42KB
2024-10-25 22:08:03
openocd-riscv-0_git20230104-r2.apk
1.45MB
2024-10-25 22:08:03
openocd-riscv-dev-0_git20230104-r2.apk
3.72KB
2024-10-25 22:08:03
openocd-riscv-doc-0_git20230104-r2.apk
3.29KB
2024-10-25 22:08:03
openocd-riscv-udev-rules-0_git20230104-r2.apk
3.27KB
2024-10-25 22:08:03
openra-20231010-r1.apk
23.52MB
2025-05-14 21:17:54
openrdap-0.9.1-r0.apk
3.26MB
2025-06-24 18:50:27
openrdap-doc-0.9.1-r0.apk
2.23KB
2025-06-24 18:50:27
openscap-daemon-0.1.10-r9.apk
60.16KB
2024-10-25 22:08:03
openscap-daemon-doc-0.1.10-r9.apk
17.54KB
2024-10-25 22:08:03
openscap-daemon-pyc-0.1.10-r9.apk
101.88KB
2024-10-25 22:08:03
openslide-3.4.1-r3.apk
77.36KB
2024-10-25 22:08:03
openslide-dev-3.4.1-r3.apk
6.86KB
2024-10-25 22:08:03
openslide-doc-3.4.1-r3.apk
4.92KB
2024-10-25 22:08:03
openslide-tools-3.4.1-r3.apk
9.95KB
2024-10-25 22:08:03
openswitcher-0.5.0-r4.apk
148.40KB
2024-10-25 22:08:03
openswitcher-proxy-0.5.0-r4.apk
9.38KB
2024-10-25 22:08:03
openswitcher-proxy-openrc-0.5.0-r4.apk
2.04KB
2024-10-25 22:08:03
opentelemetry-cpp-1.22.0-r0.apk
538.87KB
2025-07-24 16:42:50
opentelemetry-cpp-dev-1.22.0-r0.apk
505.26KB
2025-07-24 16:42:50
opentelemetry-cpp-exporter-otlp-common-1.22.0-r0.apk
41.95KB
2025-07-24 16:42:50
opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r0.apk
49.15KB
2025-07-24 16:42:50
opentelemetry-cpp-exporter-otlp-http-1.22.0-r0.apk
73.76KB
2025-07-24 16:42:50
opentelemetry-cpp-exporter-zipkin-1.22.0-r0.apk
42.74KB
2025-07-24 16:42:50
openvpn3-3.8.5-r1.apk
350.50KB
2025-02-22 14:17:58
openvpn3-dev-3.8.5-r1.apk
667.01KB
2025-02-22 14:17:58
openwsman-2.8.1-r1.apk
43.59KB
2025-07-01 21:10:43
openwsman-dev-2.8.1-r1.apk
55.71KB
2025-07-01 21:10:43
openwsman-doc-2.8.1-r1.apk
2.40KB
2025-07-01 21:10:43
openwsman-libs-2.8.1-r1.apk
290.23KB
2025-07-01 21:10:43
opkg-0.7.0-r0.apk
9.79KB
2024-10-25 22:08:04
opkg-dev-0.7.0-r0.apk
99.37KB
2024-10-25 22:08:04
opkg-doc-0.7.0-r0.apk
7.65KB
2024-10-25 22:08:04
opkg-libs-0.7.0-r0.apk
69.69KB
2024-10-25 22:08:04
opkg-utils-0.7.0-r0.apk
25.20KB
2024-10-25 22:08:04
opkg-utils-doc-0.7.0-r0.apk
3.62KB
2024-10-25 22:08:04
opmsg-1.84-r1.apk
242.57KB
2024-10-25 22:08:04
optee-client-3.20.0-r0.apk
18.33KB
2024-10-25 22:08:04
optee-client-dev-3.20.0-r0.apk
28.60KB
2024-10-25 22:08:04
optee-client-libs-3.20.0-r0.apk
29.99KB
2024-10-25 22:08:04
orage-4.20.1-r0.apk
568.64KB
2025-04-08 14:49:14
orage-lang-4.20.1-r0.apk
1.21MB
2025-04-08 14:49:14
osmctools-0.9-r0.apk
123.32KB
2024-10-25 22:08:04
ostui-1.0.4-r0.apk
4.79MB
2025-09-11 05:50:13
ostui-doc-1.0.4-r0.apk
27.94KB
2025-09-11 05:50:13
otf-0.4.1-r0.apk
1.27KB
2025-09-11 11:17:56
otf-agent-0.4.1-r0.apk
8.11MB
2025-09-11 11:17:57
otf-agent-openrc-0.4.1-r0.apk
2.00KB
2025-09-11 11:17:57
otf-atkinson-hyperlegible-2020.0514-r1.apk
101.62KB
2024-10-25 22:08:04
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
47.69KB
2024-10-25 22:08:04
otf-cli-0.4.1-r0.apk
7.97MB
2025-09-11 11:17:57
otf-server-0.4.1-r0.apk
12.58MB
2025-09-11 11:17:57
otf-server-openrc-0.4.1-r0.apk
2.00KB
2025-09-11 11:17:57
otpclient-4.1.1-r0.apk
102.86KB
2025-07-24 16:42:50
otpclient-doc-4.1.1-r0.apk
3.65KB
2025-07-24 16:42:50
otrs-6.0.48-r2.apk
28.68MB
2024-10-25 22:08:04
otrs-apache2-6.0.48-r2.apk
4.03KB
2024-10-25 22:08:04
otrs-bash-completion-6.0.48-r2.apk
2.40KB
2024-10-25 22:08:04
otrs-dev-6.0.48-r2.apk
3.87MB
2024-10-25 22:08:04
otrs-doc-6.0.48-r2.apk
795.14KB
2024-10-25 22:08:04
otrs-fastcgi-6.0.48-r2.apk
1.77KB
2024-10-25 22:08:04
otrs-nginx-6.0.48-r2.apk
1.79KB
2024-10-25 22:08:04
otrs-openrc-6.0.48-r2.apk
1.89KB
2024-10-25 22:08:04
otrs-setup-6.0.48-r2.apk
107.34KB
2024-10-25 22:08:04
ouch-0.6.1-r0.apk
1.54MB
2025-05-28 10:38:11
ouch-bash-completion-0.6.1-r0.apk
2.50KB
2025-05-28 10:38:11
ouch-doc-0.6.1-r0.apk
3.82KB
2025-05-28 10:38:11
ouch-fish-completion-0.6.1-r0.apk
3.03KB
2025-05-28 10:38:11
ouch-zsh-completion-0.6.1-r0.apk
3.13KB
2025-05-28 10:38:11
ovn-24.03.1-r0.apk
5.94MB
2024-10-25 22:08:05
ovn-dbg-24.03.1-r0.apk
26.16MB
2024-10-25 22:08:05
ovn-dev-24.03.1-r0.apk
9.77MB
2024-10-25 22:08:05
ovn-doc-24.03.1-r0.apk
511.93KB
2024-10-25 22:08:05
ovn-openrc-24.03.1-r0.apk
4.15KB
2024-10-25 22:08:05
ovos-audio-1.0.1-r0.apk
136.28KB
2025-07-15 23:03:25
ovos-audio-pyc-1.0.1-r0.apk
35.83KB
2025-07-15 23:03:25
ovos-core-1.3.1-r0.apk
51.14KB
2025-05-26 15:35:27
ovos-core-pyc-1.3.1-r0.apk
63.64KB
2025-05-26 15:35:27
ovos-gui-1.3.3-r0.apk
37.85KB
2025-07-15 23:03:25
ovos-gui-pyc-1.3.3-r0.apk
38.43KB
2025-07-15 23:03:25
ovos-messagebus-0.0.10-r0.apk
9.95KB
2025-04-08 10:43:38
ovos-messagebus-pyc-0.0.10-r0.apk
6.65KB
2025-04-08 10:43:38
ovos-phal-0.2.10-r0.apk
10.48KB
2025-07-15 22:29:58
ovos-phal-pyc-0.2.10-r0.apk
7.24KB
2025-07-15 22:29:58
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
45.64KB
2024-10-25 22:08:05
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
3.97KB
2024-10-25 22:08:05
ovpncc-0.1_rc1-r0.apk
11.95KB
2024-10-25 22:08:05
ovpncc-doc-0.1_rc1-r0.apk
6.51KB
2024-10-25 22:08:05
oxygen-icons-6.1.0-r0.apk
31.60MB
2024-10-25 22:08:06
p0f-3.09b-r3.apk
81.72KB
2024-10-25 22:08:06
p0f-doc-3.09b-r3.apk
25.26KB
2024-10-25 22:08:06
p910nd-0.97-r2.apk
6.72KB
2024-10-25 22:08:06
p910nd-doc-0.97-r2.apk
2.98KB
2024-10-25 22:08:06
p910nd-openrc-0.97-r2.apk
1.84KB
2024-10-25 22:08:06
packwiz-0_git20250902-r0.apk
4.70MB
2025-09-26 08:27:39
packwiz-doc-0_git20250902-r0.apk
2.25KB
2025-09-26 08:27:39
pacparser-1.4.5-r1.apk
680.21KB
2024-10-25 22:08:06
pacparser-dev-1.4.5-r1.apk
3.60KB
2024-10-25 22:08:06
pacparser-doc-1.4.5-r1.apk
17.75KB
2024-10-25 22:08:06
paged-markdown-3-pdf-0.1.3-r0.apk
393.44KB
2025-05-28 17:23:56
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
1.86KB
2025-05-28 17:23:56
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
1.78KB
2025-05-28 17:23:56
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
1.86KB
2025-05-28 17:23:56
pam-krb5-4.11-r1.apk
19.50KB
2024-10-25 22:08:06
pam-krb5-doc-4.11-r1.apk
23.62KB
2024-10-25 22:08:06
pam-pkcs11-0.6.13-r0.apk
219.03KB
2025-06-10 22:48:27
pam-pkcs11-doc-0.6.13-r0.apk
14.21KB
2025-06-10 22:48:27
pam_sqlite3-1.0.2-r2.apk
7.78KB
2024-10-25 22:08:06
pamtester-0.1.2-r4.apk
8.00KB
2024-10-25 22:08:06
pamtester-doc-0.1.2-r4.apk
2.89KB
2024-10-25 22:08:06
pantalaimon-0.10.5-r4.apk
44.76KB
2024-10-25 22:08:06
pantalaimon-doc-0.10.5-r4.apk
6.38KB
2024-10-25 22:08:06
pantalaimon-pyc-0.10.5-r4.apk
82.92KB
2024-10-25 22:08:06
pantalaimon-ui-0.10.5-r4.apk
1.72KB
2024-10-25 22:08:06
paperde-0.3.0-r2.apk
578.01KB
2025-08-21 10:56:20
paperde-dev-0.3.0-r2.apk
4.97KB
2025-08-21 10:56:20
paperkey-1.6-r2.apk
15.10KB
2024-10-25 22:08:06
paperkey-doc-1.6-r2.apk
4.49KB
2024-10-25 22:08:06
paprefs-1.2-r2.apk
27.94KB
2024-11-23 00:07:32
paprefs-lang-1.2-r2.apk
37.89KB
2024-11-23 00:07:32
par-1.53.0-r1.apk
13.36KB
2024-10-25 22:08:06
par-doc-1.53.0-r1.apk
30.33KB
2024-10-25 22:08:06
par2cmdline-turbo-1.3.0-r0.apk
162.08KB
2025-05-11 14:57:51
par2cmdline-turbo-doc-1.3.0-r0.apk
5.91KB
2025-05-11 14:57:51
parcellite-1.2.5-r0.apk
221.29KB
2024-10-25 22:08:06
parcellite-doc-1.2.5-r0.apk
25.23KB
2024-10-25 22:08:06
parcellite-lang-1.2.5-r0.apk
48.78KB
2024-10-25 22:08:06
parse-changelog-0.6.12-r0.apk
501.58KB
2025-05-19 01:20:40
pash-2.3.0-r2.apk
4.29KB
2024-10-25 22:08:06
pasystray-0.8.2-r0.apk
42.78KB
2024-10-25 22:08:06
pasystray-doc-0.8.2-r0.apk
3.33KB
2024-10-25 22:08:06
pax-20240817-r0.apk
51.48KB
2025-09-23 08:22:06
pax-doc-20240817-r0.apk
27.73KB
2025-09-23 08:22:06
pcl-1.14.1-r0.apk
403.41KB
2025-02-22 14:17:58
pcl-dev-1.14.1-r0.apk
386.89KB
2025-02-22 14:17:58
pcl-libs-1.14.1-r0.apk
1.15MB
2025-02-22 14:17:58
pdal-python-plugins-1.6.5-r0.apk
211.80KB
2025-06-22 00:46:11
pdf2svg-0.2.3-r1.apk
4.40KB
2024-10-25 22:08:07
pdfcrack-0.20-r0.apk
22.34KB
2024-10-25 22:08:07
pebble-le-0.3.0-r2.apk
58.96KB
2024-12-14 21:23:33
pebble-le-dev-0.3.0-r2.apk
41.29KB
2024-12-14 21:23:33
pebble-le-doc-0.3.0-r2.apk
3.68KB
2024-12-14 21:23:33
peervpn-0.044-r5.apk
36.30KB
2024-10-25 22:08:07
peervpn-openrc-0.044-r5.apk
1.79KB
2024-10-25 22:08:07
peg-0.1.18-r1.apk
31.67KB
2024-10-25 22:08:07
peg-doc-0.1.18-r1.apk
13.66KB
2024-10-25 22:08:07
pegasus-frontend-16_alpha-r0.apk
1.18MB
2024-10-25 22:08:07
pegasus-frontend-doc-16_alpha-r0.apk
16.23KB
2024-10-25 22:08:07
percona-toolkit-3.5.4-r1.apk
1.78MB
2024-10-25 22:08:07
percona-toolkit-doc-3.5.4-r1.apk
297.52KB
2024-10-25 22:08:07
perl-adapter-async-0.019-r0.apk
8.11KB
2024-10-25 22:08:07
perl-adapter-async-doc-0.019-r0.apk
16.75KB
2024-10-25 22:08:07
perl-algorithm-backoff-0.010-r0.apk
9.59KB
2024-10-25 22:08:07
perl-algorithm-backoff-doc-0.010-r0.apk
29.47KB
2024-10-25 22:08:07
perl-algorithm-c3-0.11-r1.apk
5.63KB
2024-10-25 22:08:07
perl-algorithm-c3-doc-0.11-r1.apk
5.07KB
2024-10-25 22:08:07
perl-algorithm-cron-0.10-r4.apk
6.08KB
2024-10-25 22:08:07
perl-algorithm-cron-doc-0.10-r4.apk
4.57KB
2024-10-25 22:08:07
perl-algorithm-evolutionary-0.82.1-r0.apk
78.73KB
2024-10-25 22:08:07
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
147.73KB
2024-10-25 22:08:07
perl-algorithm-permute-0.17-r1.apk
10.87KB
2025-07-01 21:10:43
perl-algorithm-permute-doc-0.17-r1.apk
5.12KB
2025-07-01 21:10:43
perl-aliased-0.34-r4.apk
5.63KB
2024-10-25 22:08:07
perl-aliased-doc-0.34-r4.apk
5.64KB
2024-10-25 22:08:07
perl-alien-base-modulebuild-1.17-r0.apk
21.74KB
2025-06-08 19:07:13
perl-alien-base-modulebuild-doc-1.17-r0.apk
53.42KB
2025-06-08 19:07:13
perl-alien-libgumbo-0.05-r1.apk
537.72KB
2025-07-01 21:10:43
perl-alien-libgumbo-doc-0.05-r1.apk
4.82KB
2025-07-01 21:10:43
perl-anyevent-dns-etchosts-0.0105-r0.apk
5.08KB
2024-10-25 22:08:07
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
3.96KB
2024-10-25 22:08:07
perl-anyevent-future-0.05-r0.apk
5.14KB
2024-10-25 22:08:07
perl-anyevent-future-doc-0.05-r0.apk
5.40KB
2024-10-25 22:08:07
perl-anyevent-riperedis-0.48-r0.apk
12.36KB
2024-10-25 22:08:07
perl-anyevent-riperedis-doc-0.48-r0.apk
10.28KB
2024-10-25 22:08:07
perl-app-a2p-1.013-r0.apk
41.22KB
2025-07-24 16:42:50
perl-app-a2p-doc-1.013-r0.apk
5.42KB
2025-07-24 16:42:50
perl-app-find2perl-1.005-r0.apk
8.54KB
2025-07-24 16:42:50
perl-app-find2perl-doc-1.005-r0.apk
5.89KB
2025-07-24 16:42:50
perl-archive-any-lite-0.11-r0.apk
4.12KB
2025-07-15 13:28:49
perl-archive-any-lite-doc-0.11-r0.apk
3.69KB
2025-07-15 13:28:49
perl-archive-extract-0.88-r1.apk
15.73KB
2024-10-25 22:08:07
perl-archive-extract-doc-0.88-r1.apk
6.80KB
2024-10-25 22:08:07
perl-array-diff-0.09-r0.apk
3.33KB
2025-07-10 05:28:25
perl-array-diff-doc-0.09-r0.apk
3.88KB
2025-07-10 05:28:25
perl-asa-1.04-r0.apk
4.32KB
2025-06-11 19:34:50
perl-asa-doc-1.04-r0.apk
5.00KB
2025-06-11 19:34:50
perl-astro-0.78-r0.apk
31.96KB
2025-07-24 16:42:50
perl-astro-coords-0.22-r0.apk
52.58KB
2025-07-24 16:42:50
perl-astro-coords-doc-0.22-r0.apk
40.34KB
2025-07-24 16:42:50
perl-astro-doc-0.78-r0.apk
13.82KB
2025-07-24 16:42:50
perl-astro-montenbruck-1.26-r0.apk
53.43KB
2025-07-24 16:42:50
perl-astro-montenbruck-doc-1.26-r0.apk
59.69KB
2025-07-24 16:42:50
perl-astro-pal-1.09-r0.apk
198.98KB
2025-07-24 16:42:50
perl-astro-pal-doc-1.09-r0.apk
7.36KB
2025-07-24 16:42:50
perl-astro-satpass-0.134-r0.apk
218.11KB
2025-09-19 17:53:45
perl-astro-satpass-doc-0.134-r0.apk
132.88KB
2025-09-19 17:53:45
perl-astro-telescope-0.71-r0.apk
48.33KB
2025-07-24 16:42:50
perl-astro-telescope-doc-0.71-r0.apk
5.00KB
2025-07-24 16:42:50
perl-autobox-3.0.2-r1.apk
17.67KB
2025-07-01 21:10:43
perl-autobox-doc-3.0.2-r1.apk
8.90KB
2025-07-01 21:10:43
perl-b-hooks-op-check-0.22-r1.apk
6.19KB
2025-07-01 21:10:43
perl-b-hooks-op-check-doc-0.22-r1.apk
3.77KB
2025-07-01 21:10:43
perl-b-utils-0.27-r1.apk
18.47KB
2025-07-01 21:10:43
perl-b-utils-doc-0.27-r1.apk
9.51KB
2025-07-01 21:10:43
perl-badger-0.16-r0.apk
253.11KB
2025-06-15 17:50:01
perl-badger-doc-0.16-r0.apk
260.06KB
2025-06-15 17:50:01
perl-barcode-zbar-0.10-r4.apk
27.72KB
2025-07-01 21:10:43
perl-barcode-zbar-doc-0.10-r4.apk
12.67KB
2025-07-01 21:10:43
perl-bareword-filehandles-0.007-r1.apk
5.44KB
2025-07-01 21:10:43
perl-bareword-filehandles-doc-0.007-r1.apk
3.24KB
2025-07-01 21:10:43
perl-bind-config-parser-0.01-r5.apk
3.86KB
2024-10-25 22:08:07
perl-bind-config-parser-doc-0.01-r5.apk
3.61KB
2024-10-25 22:08:07
perl-bsd-resource-1.2911-r11.apk
18.33KB
2025-07-01 21:10:43
perl-bsd-resource-doc-1.2911-r11.apk
7.93KB
2025-07-01 21:10:43
perl-bytes-random-secure-0.29-r0.apk
14.30KB
2024-10-25 22:08:07
perl-bytes-random-secure-doc-0.29-r0.apk
12.14KB
2024-10-25 22:08:07
perl-cache-lru-0.04-r0.apk
2.94KB
2024-10-25 22:08:07
perl-cache-lru-doc-0.04-r0.apk
3.20KB
2024-10-25 22:08:07
perl-cairo-1.109-r5.apk
65.71KB
2025-07-01 21:10:43
perl-cairo-doc-1.109-r5.apk
14.05KB
2025-07-01 21:10:43
perl-cairo-gobject-1.005-r5.apk
5.98KB
2025-07-01 21:10:43
perl-cairo-gobject-doc-1.005-r5.apk
3.00KB
2025-07-01 21:10:43
perl-carp-assert-more-2.9.0-r0.apk
8.97KB
2025-04-13 17:57:02
perl-carp-assert-more-doc-2.9.0-r0.apk
7.79KB
2025-04-13 17:57:02
perl-carp-repl-0.18-r0.apk
5.96KB
2025-05-11 14:57:51
perl-carp-repl-doc-0.18-r0.apk
5.87KB
2025-05-11 14:57:51
perl-catalyst-action-renderview-0.17-r0.apk
3.80KB
2025-03-19 16:53:02
perl-catalyst-action-renderview-doc-0.17-r0.apk
4.04KB
2025-03-19 16:53:02
perl-catalyst-action-rest-1.21-r0.apk
25.40KB
2025-03-31 15:42:57
perl-catalyst-action-rest-doc-1.21-r0.apk
27.07KB
2025-03-31 15:42:57
perl-catalyst-actionrole-acl-0.07-r0.apk
4.33KB
2025-05-11 14:57:51
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
5.75KB
2025-05-11 14:57:51
perl-catalyst-authentication-credential-http-1.018-r0.apk
8.61KB
2025-04-04 08:39:24
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
6.76KB
2025-04-04 08:39:24
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
13.32KB
2025-06-11 19:35:26
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
13.87KB
2025-06-11 19:35:26
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2.71KB
2025-06-20 07:10:15
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
3.29KB
2025-06-20 07:10:15
perl-catalyst-controller-actionrole-0.17-r0.apk
4.99KB
2025-04-04 08:41:08
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
4.44KB
2025-04-04 08:41:08
perl-catalyst-devel-1.42-r0.apk
54.07KB
2025-03-20 18:04:27
perl-catalyst-devel-doc-1.42-r0.apk
11.38KB
2025-03-20 18:04:27
perl-catalyst-manual-5.9013-r0.apk
2.68KB
2025-04-04 08:22:28
perl-catalyst-manual-doc-5.9013-r0.apk
375.13KB
2025-04-04 08:22:28
perl-catalyst-model-adaptor-0.10-r0.apk
6.49KB
2025-04-13 17:57:02
perl-catalyst-model-adaptor-doc-0.10-r0.apk
12.11KB
2025-04-13 17:57:02
perl-catalyst-model-dbic-schema-0.66-r0.apk
19.12KB
2025-06-11 19:35:26
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
17.91KB
2025-06-11 19:35:26
perl-catalyst-plugin-authentication-0.10024-r0.apk
32.22KB
2025-03-29 20:40:19
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
55.90KB
2025-03-29 20:40:19
perl-catalyst-plugin-configloader-0.35-r0.apk
5.38KB
2025-03-20 18:04:27
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
10.32KB
2025-03-20 18:04:27
perl-catalyst-plugin-i18n-0.10-r0.apk
4.12KB
2025-04-04 12:42:55
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
13.27KB
2025-04-04 12:42:55
perl-catalyst-plugin-session-0.43-r0.apk
14.43KB
2025-04-04 14:56:57
perl-catalyst-plugin-session-doc-0.43-r0.apk
25.14KB
2025-04-04 14:56:57
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
4.85KB
2025-04-20 07:32:44
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
4.85KB
2025-04-20 07:32:44
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
5.88KB
2025-06-13 21:17:49
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
6.43KB
2025-06-13 21:17:49
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
4.48KB
2025-06-13 21:17:49
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
4.53KB
2025-06-13 21:17:49
perl-catalyst-plugin-session-store-file-0.18-r0.apk
3.64KB
2025-06-14 05:46:46
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
4.01KB
2025-06-14 05:46:46
perl-catalyst-plugin-stacktrace-0.12-r0.apk
4.67KB
2025-06-14 05:46:46
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
3.88KB
2025-06-14 05:46:46
perl-catalyst-plugin-static-simple-0.37-r0.apk
8.69KB
2025-03-20 18:04:27
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
7.41KB
2025-03-20 18:04:27
perl-catalyst-runtime-5.90132-r0.apk
149.69KB
2025-03-19 13:44:15
perl-catalyst-runtime-doc-5.90132-r0.apk
216.34KB
2025-03-19 13:44:15
perl-catalyst-view-email-0.36-r0.apk
9.08KB
2025-04-04 12:42:55
perl-catalyst-view-email-doc-0.36-r0.apk
10.75KB
2025-04-04 12:42:55
perl-catalyst-view-tt-0.46-r0.apk
13.62KB
2025-04-12 18:51:53
perl-catalyst-view-tt-doc-0.46-r0.apk
12.70KB
2025-04-12 18:51:53
perl-catalystx-component-traits-0.19-r0.apk
4.32KB
2025-05-11 14:57:51
perl-catalystx-component-traits-doc-0.19-r0.apk
4.00KB
2025-05-11 14:57:51
perl-catalystx-injectcomponent-0.025-r0.apk
3.41KB
2025-05-11 14:57:51
perl-catalystx-injectcomponent-doc-0.025-r0.apk
3.58KB
2025-05-11 14:57:51
perl-catalystx-leakchecker-0.06-r0.apk
3.37KB
2025-06-15 07:46:47
perl-catalystx-leakchecker-doc-0.06-r0.apk
3.51KB
2025-06-15 07:46:47
perl-catalystx-profile-0.02-r0.apk
3.08KB
2025-06-15 07:46:47
perl-catalystx-profile-doc-0.02-r0.apk
4.54KB
2025-06-15 07:46:47
perl-catalystx-repl-0.04-r0.apk
3.30KB
2025-05-11 14:57:51
perl-catalystx-repl-doc-0.04-r0.apk
3.62KB
2025-05-11 14:57:51
perl-catalystx-simplelogin-0.21-r0.apk
10.68KB
2025-05-11 14:57:51
perl-catalystx-simplelogin-doc-0.21-r0.apk
24.19KB
2025-05-11 14:57:51
perl-cgi-expand-2.05-r4.apk
6.85KB
2024-10-25 22:08:07
perl-cgi-expand-doc-2.05-r4.apk
6.13KB
2024-10-25 22:08:07
perl-cgi-simple-1.282-r0.apk
55.63KB
2025-08-30 07:17:23
perl-cgi-simple-doc-1.282-r0.apk
42.74KB
2025-08-30 07:17:23
perl-cgi-struct-1.21-r0.apk
7.83KB
2025-03-14 18:31:46
perl-cgi-struct-doc-1.21-r0.apk
6.78KB
2025-03-14 18:31:46
perl-check-unitcheck-0.13-r2.apk
5.58KB
2025-07-01 21:10:43
perl-check-unitcheck-doc-0.13-r2.apk
3.61KB
2025-07-01 21:10:43
perl-class-accessor-grouped-0.10014-r2.apk
11.99KB
2024-10-25 22:08:07
perl-class-accessor-grouped-doc-0.10014-r2.apk
7.45KB
2024-10-25 22:08:07
perl-class-c3-0.35-r1.apk
9.44KB
2024-10-25 22:08:07
perl-class-c3-adopt-next-0.14-r0.apk
5.08KB
2025-03-14 18:31:46
perl-class-c3-adopt-next-doc-0.14-r0.apk
4.68KB
2025-03-14 18:31:46
perl-class-c3-componentised-1.001002-r2.apk
5.50KB
2024-10-25 22:08:07
perl-class-c3-componentised-doc-1.001002-r2.apk
5.31KB
2024-10-25 22:08:07
perl-class-c3-doc-0.35-r1.apk
9.26KB
2024-10-25 22:08:07
perl-class-inner-0.200001-r5.apk
3.93KB
2024-10-25 22:08:07
perl-class-inner-doc-0.200001-r5.apk
4.09KB
2024-10-25 22:08:07
perl-class-unload-0.11-r0.apk
2.59KB
2025-06-04 22:41:58
perl-class-unload-doc-0.11-r0.apk
3.07KB
2025-06-04 22:41:58
perl-cli-osprey-0.08-r0.apk
12.75KB
2024-12-28 06:54:08
perl-cli-osprey-doc-0.08-r0.apk
12.05KB
2024-12-28 06:54:08
perl-clipboard-0.32-r0.apk
10.45KB
2025-05-18 18:45:22
perl-clipboard-doc-0.32-r0.apk
26.80KB
2025-05-18 18:45:22
perl-color-ansi-util-0.165-r0.apk
7.28KB
2024-10-25 22:08:07
perl-color-ansi-util-doc-0.165-r0.apk
5.16KB
2024-10-25 22:08:07
perl-color-rgb-util-0.609-r0.apk
9.46KB
2025-03-19 13:44:15
perl-color-rgb-util-doc-0.609-r0.apk
7.36KB
2025-03-19 13:44:15
perl-conf-libconfig-1.0.3-r2.apk
21.41KB
2025-07-01 21:10:43
perl-conf-libconfig-doc-1.0.3-r2.apk
5.50KB
2025-07-01 21:10:43
perl-constant-defer-6-r5.apk
7.38KB
2024-10-25 22:08:07
perl-constant-defer-doc-6-r5.apk
6.93KB
2024-10-25 22:08:07
perl-constant-generate-0.17-r5.apk
8.73KB
2024-10-25 22:08:07
perl-constant-generate-doc-0.17-r5.apk
7.02KB
2024-10-25 22:08:07
perl-context-preserve-0.03-r4.apk
3.84KB
2024-10-25 22:08:07
perl-context-preserve-doc-0.03-r4.apk
4.19KB
2024-10-25 22:08:07
perl-cpan-audit-20250829.001-r0.apk
13.51KB
2025-09-09 16:17:33
perl-cpan-audit-doc-20250829.001-r0.apk
12.36KB
2025-09-09 16:17:33
perl-cpan-changes-0.500005-r0.apk
13.41KB
2025-08-16 17:16:11
perl-cpan-changes-doc-0.500005-r0.apk
18.34KB
2025-08-16 17:16:11
perl-cpansa-db-20250807.001-r0.apk
1.29MB
2025-09-01 16:16:07
perl-cpansa-db-doc-20250807.001-r0.apk
5.57KB
2025-09-01 16:16:07
perl-crypt-blowfish-2.14-r1.apk
12.14KB
2025-07-01 21:10:43
perl-crypt-blowfish-doc-2.14-r1.apk
4.07KB
2025-07-01 21:10:43
perl-crypt-random-seed-0.03-r0.apk
11.22KB
2024-10-25 22:08:07
perl-crypt-random-seed-doc-0.03-r0.apk
8.78KB
2024-10-25 22:08:07
perl-crypt-saltedhash-0.09-r5.apk
6.92KB
2024-10-25 22:08:07
perl-crypt-saltedhash-doc-0.09-r5.apk
6.34KB
2024-10-25 22:08:07
perl-css-inliner-4027-r0.apk
15.83KB
2025-06-20 07:10:15
perl-css-inliner-doc-4027-r0.apk
9.44KB
2025-06-20 07:10:15
perl-css-object-0.2.0-r0.apk
22.76KB
2024-10-25 22:08:07
perl-css-object-doc-0.2.0-r0.apk
32.56KB
2024-10-25 22:08:07
perl-daemon-control-0.001010-r2.apk
12.36KB
2024-10-25 22:08:07
perl-daemon-control-doc-0.001010-r2.apk
8.23KB
2024-10-25 22:08:07
perl-dancer-plugin-auth-extensible-1.00-r5.apk
15.29KB
2024-10-25 22:08:07
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
14.80KB
2024-10-25 22:08:07
perl-dancer-plugin-passphrase-2.0.1-r4.apk
9.68KB
2024-10-25 22:08:07
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
8.44KB
2024-10-25 22:08:07
perl-dancer2-1.1.2-r0.apk
163.03KB
2024-12-28 06:54:08
perl-dancer2-doc-1.1.2-r0.apk
300.77KB
2024-12-28 06:54:08
perl-data-binary-0.01-r0.apk
2.77KB
2025-07-10 05:28:25
perl-data-binary-doc-0.01-r0.apk
3.08KB
2025-07-10 05:28:25
perl-data-checks-0.10-r1.apk
19.47KB
2025-07-01 21:10:43
perl-data-checks-doc-0.10-r1.apk
8.26KB
2025-07-01 21:10:43
perl-data-clone-0.006-r1.apk
8.88KB
2025-07-01 21:10:43
perl-data-clone-doc-0.006-r1.apk
4.53KB
2025-07-01 21:10:43
perl-data-dump-streamer-2.42-r1.apk
48.39KB
2025-07-01 21:10:43
perl-data-dump-streamer-doc-2.42-r1.apk
17.26KB
2025-07-01 21:10:43
perl-data-section-0.200008-r0.apk
6.42KB
2025-07-07 20:02:49
perl-data-section-doc-0.200008-r0.apk
5.62KB
2025-07-07 20:02:49
perl-data-validate-domain-0.15-r0.apk
5.82KB
2024-10-25 22:08:07
perl-data-validate-domain-doc-0.15-r0.apk
5.45KB
2024-10-25 22:08:07
perl-data-validate-ip-0.31-r1.apk
8.81KB
2024-10-25 22:08:07
perl-data-validate-ip-doc-0.31-r1.apk
5.84KB
2024-10-25 22:08:07
perl-data-visitor-0.32-r0.apk
9.77KB
2025-03-19 16:53:02
perl-data-visitor-doc-0.32-r0.apk
8.31KB
2025-03-19 16:53:02
perl-database-async-0.019-r0.apk
22.78KB
2024-10-25 22:08:07
perl-database-async-doc-0.019-r0.apk
29.17KB
2024-10-25 22:08:07
perl-database-async-engine-postgresql-1.005-r0.apk
13.94KB
2024-10-25 22:08:07
perl-database-async-engine-postgresql-doc-1.005-r0.apk
9.31KB
2024-10-25 22:08:07
perl-date-range-1.41-r0.apk
3.75KB
2025-07-08 19:53:50
perl-date-range-doc-1.41-r0.apk
4.04KB
2025-07-08 19:53:50
perl-datetime-astro-1.04-r0.apk
32.89KB
2025-07-24 16:42:50
perl-datetime-astro-doc-1.04-r0.apk
6.01KB
2025-07-24 16:42:50
perl-datetime-format-atom-1.8.0-r0.apk
3.15KB
2025-01-05 17:08:09
perl-datetime-format-atom-doc-1.8.0-r0.apk
3.77KB
2025-01-05 17:08:09
perl-datetime-format-flexible-0.37-r0.apk
18.05KB
2024-12-31 15:26:00
perl-datetime-format-flexible-doc-0.37-r0.apk
12.12KB
2024-12-31 15:26:00
perl-datetime-format-rfc3339-1.10.0-r0.apk
4.39KB
2025-01-05 17:08:09
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
4.14KB
2025-01-05 17:08:09
perl-datetime-set-0.3900-r0.apk
19.92KB
2025-07-24 16:42:50
perl-datetime-set-doc-0.3900-r0.apk
17.85KB
2025-07-24 16:42:50
perl-datetime-timezone-alias-0.06-r0.apk
2.51KB
2024-10-25 22:08:07
perl-datetime-timezone-alias-doc-0.06-r0.apk
7.60KB
2024-10-25 22:08:07
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
11.81KB
2024-10-25 22:08:07
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
15.03KB
2024-10-25 22:08:07
perl-dbix-class-0.082844-r0.apk
355.48KB
2025-01-16 19:26:49
perl-dbix-class-cursor-cached-1.001004-r0.apk
3.21KB
2025-06-09 19:27:11
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
3.05KB
2025-06-09 19:27:11
perl-dbix-class-doc-0.082844-r0.apk
420.50KB
2025-01-16 19:26:49
perl-dbix-class-schema-loader-0.07053-r0.apk
97.38KB
2025-06-09 19:27:11
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
77.33KB
2025-06-09 19:27:11
perl-dbix-connector-0.60-r0.apk
14.74KB
2024-12-30 08:37:11
perl-dbix-connector-doc-0.60-r0.apk
22.30KB
2024-12-30 08:37:11
perl-dbix-datasource-0.02-r5.apk
4.28KB
2024-10-25 22:08:07
perl-dbix-datasource-doc-0.02-r5.apk
7.52KB
2024-10-25 22:08:07
perl-dbix-introspector-0.001005-r4.apk
8.03KB
2024-10-25 22:08:07
perl-dbix-introspector-doc-0.001005-r4.apk
8.44KB
2024-10-25 22:08:07
perl-dbix-lite-0.36-r0.apk
18.01KB
2024-12-30 08:37:11
perl-dbix-lite-doc-0.36-r0.apk
17.52KB
2024-12-30 08:37:11
perl-devel-confess-0.009004-r0.apk
11.41KB
2024-10-25 22:08:07
perl-devel-confess-doc-0.009004-r0.apk
6.63KB
2024-10-25 22:08:07
perl-devel-findperl-0.016-r0.apk
4.72KB
2025-06-08 19:07:13
perl-devel-findperl-doc-0.016-r0.apk
3.83KB
2025-06-08 19:07:13
perl-devel-leak-0.03-r14.apk
6.17KB
2025-07-01 21:10:43
perl-devel-leak-doc-0.03-r14.apk
3.35KB
2025-07-01 21:10:43
perl-devel-nytprof-6.14-r1.apk
378.41KB
2025-07-01 21:10:43
perl-devel-nytprof-doc-6.14-r1.apk
50.53KB
2025-07-01 21:10:43
perl-devel-refcount-0.10-r2.apk
5.91KB
2025-07-01 21:10:43
perl-devel-refcount-doc-0.10-r2.apk
4.30KB
2025-07-01 21:10:43
perl-devel-repl-1.003029-r0.apk
28.35KB
2025-04-24 17:50:06
perl-devel-repl-doc-1.003029-r0.apk
60.15KB
2025-04-24 17:50:06
perl-devel-stacktrace-withlexicals-2.01-r0.apk
3.66KB
2025-05-11 14:57:51
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
3.42KB
2025-05-11 14:57:51
perl-devel-trace-0.12-r0.apk
3.36KB
2025-07-06 22:07:59
perl-devel-trace-doc-0.12-r0.apk
3.47KB
2025-07-06 22:07:59
perl-digest-bcrypt-1.212-r1.apk
5.55KB
2024-10-25 22:08:07
perl-digest-bcrypt-doc-1.212-r1.apk
5.15KB
2024-10-25 22:08:07
perl-digest-crc-0.24-r2.apk
9.38KB
2025-07-01 21:10:43
perl-digest-crc-doc-0.24-r2.apk
3.29KB
2025-07-01 21:10:43
perl-dns-unbound-0.29-r2.apk
21.84KB
2025-07-01 21:10:43
perl-dns-unbound-anyevent-0.29-r2.apk
2.34KB
2025-07-01 21:10:43
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk
1.95KB
2025-07-01 21:10:43
perl-dns-unbound-doc-0.29-r2.apk
15.40KB
2025-07-01 21:10:43
perl-dns-unbound-ioasync-0.29-r2.apk
2.42KB
2025-07-01 21:10:43
perl-dns-unbound-mojo-0.29-r2.apk
2.65KB
2025-07-01 21:10:43
perl-email-abstract-3.010-r0.apk
7.64KB
2024-10-25 22:08:07
perl-email-abstract-doc-3.010-r0.apk
12.88KB
2024-10-25 22:08:07
perl-email-mime-attachment-stripper-1.317-r5.apk
3.95KB
2024-10-25 22:08:07
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
3.80KB
2024-10-25 22:08:07
perl-email-reply-1.204-r5.apk
6.13KB
2024-10-25 22:08:07
perl-email-reply-doc-1.204-r5.apk
4.79KB
2024-10-25 22:08:07
perl-email-sender-2.601-r0.apk
24.66KB
2025-04-04 12:42:55
perl-email-sender-doc-2.601-r0.apk
42.09KB
2025-04-04 12:42:55
perl-encode-detect-1.01-r1.apk
68.11KB
2025-07-01 21:10:43
perl-encode-detect-doc-1.01-r1.apk
4.85KB
2025-07-01 21:10:43
perl-ev-hiredis-0.07-r3.apk
12.19KB
2025-07-01 21:10:43
perl-ev-hiredis-doc-0.07-r3.apk
4.23KB
2025-07-01 21:10:43
perl-expect-1.38-r0.apk
32.45KB
2025-04-19 22:01:28
perl-expect-doc-1.38-r0.apk
20.29KB
2025-04-19 22:01:28
perl-expect-simple-0.04-r0.apk
5.49KB
2025-04-20 07:38:36
perl-expect-simple-doc-0.04-r0.apk
4.88KB
2025-04-20 07:38:36
perl-extutils-makemaker-7.76-r0.apk
174.21KB
2025-08-16 17:18:49
perl-extutils-xsbuilder-0.28-r5.apk
43.05KB
2024-10-25 22:08:07
perl-extutils-xsbuilder-doc-0.28-r5.apk
21.12KB
2024-10-25 22:08:07
perl-feed-find-0.13-r0.apk
3.83KB
2024-10-25 22:08:07
perl-feed-find-doc-0.13-r0.apk
3.72KB
2024-10-25 22:08:07
perl-ffi-c-0.15-r0.apk
19.85KB
2024-10-25 22:08:07
perl-ffi-c-doc-0.15-r0.apk
28.61KB
2024-10-25 22:08:07
perl-ffi-platypus-2.10-r1.apk
174.89KB
2025-07-01 21:10:43
perl-ffi-platypus-doc-2.10-r1.apk
147.97KB
2025-07-01 21:10:43
perl-ffi-platypus-type-enum-0.06-r0.apk
5.18KB
2024-10-25 22:08:07
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
5.13KB
2024-10-25 22:08:07
perl-file-changenotify-0.31-r0.apk
12.10KB
2025-03-20 18:04:27
perl-file-changenotify-doc-0.31-r0.apk
14.01KB
2025-03-20 18:04:27
perl-file-find-object-0.3.9-r0.apk
9.16KB
2025-07-15 13:28:49
perl-file-find-object-doc-0.3.9-r0.apk
13.21KB
2025-07-15 13:28:49
perl-file-mmagic-xs-0.09008-r5.apk
26.48KB
2025-07-01 21:10:43
perl-file-mmagic-xs-doc-0.09008-r5.apk
4.26KB
2025-07-01 21:10:43
perl-file-rename-2.02-r0.apk
7.52KB
2024-10-25 22:08:07
perl-file-rename-doc-2.02-r0.apk
12.06KB
2024-10-25 22:08:07
perl-file-treecreate-0.0.1-r0.apk
4.02KB
2025-07-15 13:28:49
perl-file-treecreate-doc-0.0.1-r0.apk
4.40KB
2025-07-15 13:28:49
perl-finance-quote-1.66-r0.apk
105.82KB
2025-07-15 13:28:49
perl-finance-quote-doc-1.66-r0.apk
87.63KB
2025-07-15 13:28:49
perl-flowd-0.9.1-r11.apk
20.69KB
2025-07-01 21:10:43
perl-flowd-doc-0.9.1-r11.apk
3.25KB
2025-07-01 21:10:43
perl-freezethaw-0.5001-r2.apk
9.82KB
2024-10-25 22:08:07
perl-freezethaw-doc-0.5001-r2.apk
5.64KB
2024-10-25 22:08:07
perl-full-1.004-r0.apk
7.11KB
2024-10-25 22:08:07
perl-full-doc-1.004-r0.apk
10.29KB
2024-10-25 22:08:07
perl-future-asyncawait-hooks-0.02-r1.apk
7.42KB
2025-07-01 21:10:43
perl-future-asyncawait-hooks-doc-0.02-r1.apk
3.34KB
2025-07-01 21:10:43
perl-future-http-0.17-r0.apk
9.23KB
2024-10-25 22:08:07
perl-future-http-doc-0.17-r0.apk
15.67KB
2024-10-25 22:08:07
perl-future-q-0.120-r0.apk
9.59KB
2024-10-25 22:08:07
perl-future-q-doc-0.120-r0.apk
9.09KB
2024-10-25 22:08:07
perl-future-queue-0.52-r0.apk
4.07KB
2024-10-25 22:08:07
perl-future-queue-doc-0.52-r0.apk
4.25KB
2024-10-25 22:08:07
perl-gearman-2.004.015-r3.apk
27.44KB
2024-10-25 22:08:07
perl-gearman-doc-2.004.015-r3.apk
19.76KB
2024-10-25 22:08:07
perl-getopt-long-descriptive-0.116-r0.apk
14.63KB
2024-12-31 11:39:55
perl-getopt-long-descriptive-doc-0.116-r0.apk
10.99KB
2024-12-31 11:39:55
perl-getopt-tabular-0.3-r4.apk
23.22KB
2024-10-25 22:08:07
perl-getopt-tabular-doc-0.3-r4.apk
16.72KB
2024-10-25 22:08:07
perl-git-raw-0.90-r4.apk
153.44KB
2025-07-01 21:10:43
perl-git-raw-doc-0.90-r4.apk
119.28KB
2025-07-01 21:10:43
perl-git-repository-1.325-r0.apk
16.32KB
2024-10-25 22:08:07
perl-git-repository-doc-1.325-r0.apk
31.48KB
2024-10-25 22:08:07
perl-git-version-compare-1.005-r0.apk
5.38KB
2024-10-25 22:08:07
perl-git-version-compare-doc-1.005-r0.apk
4.84KB
2024-10-25 22:08:07
perl-glib-ex-objectbits-17-r0.apk
15.05KB
2024-10-25 22:08:07
perl-glib-ex-objectbits-doc-17-r0.apk
22.39KB
2024-10-25 22:08:07
perl-glib-object-introspection-0.052-r0.apk
53.90KB
2025-09-25 14:23:03
perl-glib-object-introspection-doc-0.052-r0.apk
11.16KB
2025-09-25 14:23:03
perl-graphql-client-0.605-r0.apk
7.13KB
2024-10-25 22:08:07
perl-graphql-client-cli-0.605-r0.apk
7.80KB
2024-10-25 22:08:07
perl-graphql-client-doc-0.605-r0.apk
13.96KB
2024-10-25 22:08:07
perl-gtk2-1.24993-r7.apk
777.54KB
2025-07-01 21:10:43
perl-gtk2-doc-1.24993-r7.apk
678.57KB
2025-07-01 21:10:43
perl-gtk2-ex-listmodelconcat-11-r4.apk
12.74KB
2024-10-25 22:08:07
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
7.28KB
2024-10-25 22:08:07
perl-gtk2-ex-widgetbits-48-r3.apk
65.70KB
2024-10-25 22:08:07
perl-gtk2-ex-widgetbits-doc-48-r3.apk
81.21KB
2024-10-25 22:08:07
perl-gtk3-0.038-r1.apk
19.62KB
2024-10-25 22:08:07
perl-gtk3-doc-0.038-r1.apk
9.13KB
2024-10-25 22:08:07
perl-guard-1.023-r10.apk
7.96KB
2025-07-01 21:10:43
perl-guard-doc-1.023-r10.apk
5.34KB
2025-07-01 21:10:43
perl-hash-merge-extra-0.06-r0.apk
3.14KB
2025-06-20 18:18:41
perl-hash-merge-extra-doc-0.06-r0.apk
3.37KB
2025-06-20 18:18:41
perl-hash-ordered-0.014-r0.apk
9.77KB
2024-10-25 22:08:07
perl-hash-ordered-doc-0.014-r0.apk
19.22KB
2024-10-25 22:08:07
perl-html-formatexternal-26-r0.apk
17.07KB
2025-06-07 17:55:10
perl-html-formatexternal-doc-26-r0.apk
23.01KB
2025-06-07 17:55:10
perl-html-formhandler-0.40068-r0.apk
135.47KB
2025-05-11 14:57:51
perl-html-formhandler-doc-0.40068-r0.apk
323.23KB
2025-05-11 14:57:51
perl-html-gumbo-0.18-r2.apk
13.24KB
2025-07-01 21:10:43
perl-html-gumbo-doc-0.18-r2.apk
5.43KB
2025-07-01 21:10:43
perl-html-object-0.5.1-r0.apk
348.34KB
2024-10-25 22:08:07
perl-html-object-doc-0.5.1-r0.apk
471.86KB
2024-10-25 22:08:07
perl-html-query-0.09-r0.apk
13.81KB
2025-06-20 07:10:15
perl-html-query-doc-0.09-r0.apk
10.10KB
2025-06-20 07:10:15
perl-html-selector-xpath-0.28-r0.apk
6.19KB
2024-10-25 22:08:07
perl-html-selector-xpath-doc-0.28-r0.apk
4.05KB
2024-10-25 22:08:07
perl-html-tableextract-2.15-r4.apk
17.66KB
2024-10-25 22:08:07
perl-html-tableextract-doc-2.15-r4.apk
9.90KB
2024-10-25 22:08:07
perl-html-treebuilder-xpath-0.14-r0.apk
7.80KB
2025-07-10 05:28:25
perl-html-treebuilder-xpath-doc-0.14-r0.apk
4.21KB
2025-07-10 05:28:25
perl-http-headers-actionpack-0.09-r0.apk
18.00KB
2025-06-13 21:17:29
perl-http-headers-actionpack-doc-0.09-r0.apk
40.30KB
2025-06-13 21:17:29
perl-http-thin-0.006-r0.apk
3.06KB
2024-10-25 22:08:07
perl-http-thin-doc-0.006-r0.apk
3.43KB
2024-10-25 22:08:07
perl-http-xsheaders-0.400005-r2.apk
16.48KB
2025-07-01 21:10:43
perl-http-xsheaders-doc-0.400005-r2.apk
6.36KB
2025-07-01 21:10:43
perl-i18n-langinfo-wide-9-r4.apk
4.20KB
2024-10-25 22:08:07
perl-i18n-langinfo-wide-doc-9-r4.apk
4.07KB
2024-10-25 22:08:07
perl-imager-1.028-r1.apk
476.98KB
2025-07-01 21:10:43
perl-imager-doc-1.028-r1.apk
286.43KB
2025-07-01 21:10:43
perl-indirect-0.39-r2.apk
13.84KB
2025-07-01 21:10:43
perl-indirect-doc-0.39-r2.apk
6.41KB
2025-07-01 21:10:43
perl-io-handle-util-0.02-r0.apk
10.62KB
2025-06-11 19:34:50
perl-io-handle-util-doc-0.02-r0.apk
9.82KB
2025-06-11 19:34:50
perl-io-interactive-1.027-r0.apk
5.31KB
2025-09-01 16:16:07
perl-io-interactive-doc-1.027-r0.apk
5.19KB
2025-09-01 16:16:07
perl-io-lambda-1.34-r0.apk
75.45KB
2024-10-25 22:08:07
perl-io-lambda-doc-1.34-r0.apk
67.95KB
2024-10-25 22:08:07
perl-io-sessiondata-1.03-r3.apk
5.74KB
2024-10-25 22:08:07
perl-json-maybeutf8-2.000-r0.apk
3.10KB
2024-10-25 22:08:07
perl-json-maybeutf8-doc-2.000-r0.apk
3.54KB
2024-10-25 22:08:07
perl-json-validator-5.15-r0.apk
58.15KB
2025-03-19 13:44:15
perl-json-validator-doc-5.15-r0.apk
33.82KB
2025-03-19 13:44:15
perl-lexical-persistence-1.023-r0.apk
7.51KB
2025-05-11 14:57:51
perl-lexical-persistence-doc-1.023-r0.apk
7.57KB
2025-05-11 14:57:51
perl-lib-abs-0.95-r0.apk
3.86KB
2024-10-25 22:08:07
perl-lib-abs-doc-0.95-r0.apk
3.96KB
2024-10-25 22:08:07
perl-libapreq2-2.17-r3.apk
87.22KB
2025-07-01 21:10:43
perl-libapreq2-dev-2.17-r3.apk
51.42KB
2025-07-01 21:10:43
perl-libapreq2-doc-2.17-r3.apk
37.27KB
2025-07-01 21:10:43
perl-libintl-perl-1.35-r0.apk
304.92KB
2025-01-16 15:35:17
perl-libintl-perl-doc-1.35-r0.apk
571.42KB
2025-01-16 15:35:17
perl-lingua-en-findnumber-1.32-r0.apk
3.25KB
2025-06-08 19:07:13
perl-lingua-en-findnumber-doc-1.32-r0.apk
3.48KB
2025-06-08 19:07:13
perl-lingua-en-inflect-number-1.12-r0.apk
3.21KB
2025-06-08 19:07:13
perl-lingua-en-inflect-number-doc-1.12-r0.apk
3.56KB
2025-06-08 19:07:13
perl-lingua-en-inflect-phrase-0.20-r0.apk
5.32KB
2025-06-09 15:06:08
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
3.90KB
2025-06-09 15:06:08
perl-lingua-en-number-isordinal-0.05-r0.apk
3.06KB
2025-06-08 19:07:13
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
3.45KB
2025-06-08 19:07:13
perl-lingua-en-tagger-0.31-r0.apk
545.77KB
2025-06-08 19:07:13
perl-lingua-en-tagger-doc-0.31-r0.apk
4.45KB
2025-06-08 19:07:13
perl-lingua-en-words2nums-0.18-r0.apk
4.60KB
2025-06-07 17:55:33
perl-lingua-en-words2nums-doc-0.18-r0.apk
3.52KB
2025-06-07 17:55:33
perl-lingua-pt-stemmer-0.02-r0.apk
5.42KB
2025-06-07 05:00:14
perl-lingua-pt-stemmer-doc-0.02-r0.apk
4.28KB
2025-06-07 05:00:14
perl-lingua-stem-2.31-r0.apk
12.37KB
2025-06-08 19:07:13
perl-lingua-stem-doc-2.31-r0.apk
33.58KB
2025-06-08 19:07:13
perl-lingua-stem-fr-0.02-r0.apk
6.13KB
2025-06-06 17:57:09
perl-lingua-stem-fr-doc-0.02-r0.apk
3.93KB
2025-06-06 17:57:09
perl-lingua-stem-it-0.02-r0.apk
5.22KB
2025-06-06 17:57:09
perl-lingua-stem-it-doc-0.02-r0.apk
3.54KB
2025-06-06 17:57:09
perl-lingua-stem-ru-0.04-r0.apk
4.12KB
2025-06-06 17:57:09
perl-lingua-stem-ru-doc-0.04-r0.apk
3.75KB
2025-06-06 17:57:09
perl-lingua-stem-snowball-da-1.01-r0.apk
4.33KB
2025-06-08 19:07:13
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
3.03KB
2025-06-08 19:07:13
perl-linux-pid-0.04-r15.apk
4.50KB
2025-07-01 21:10:43
perl-linux-pid-doc-0.04-r15.apk
3.07KB
2025-07-01 21:10:43
perl-list-binarysearch-0.25-r0.apk
9.94KB
2024-10-25 22:08:07
perl-list-binarysearch-doc-0.25-r0.apk
11.52KB
2024-10-25 22:08:07
perl-list-binarysearch-xs-0.09-r2.apk
11.33KB
2025-07-01 21:10:43
perl-list-binarysearch-xs-doc-0.09-r2.apk
8.13KB
2025-07-01 21:10:43
perl-list-keywords-0.11-r1.apk
13.00KB
2025-07-01 21:10:43
perl-list-keywords-doc-0.11-r1.apk
5.58KB
2025-07-01 21:10:43
perl-log-fu-0.31-r4.apk
10.48KB
2024-10-25 22:08:07
perl-log-fu-doc-0.31-r4.apk
7.24KB
2024-10-25 22:08:07
perl-log-message-0.08-r3.apk
10.58KB
2024-10-25 22:08:07
perl-log-message-doc-0.08-r3.apk
12.12KB
2024-10-25 22:08:07
perl-log-message-simple-0.10-r3.apk
4.19KB
2024-10-25 22:08:07
perl-log-message-simple-doc-0.10-r3.apk
3.92KB
2024-10-25 22:08:07
perl-lwp-useragent-cached-0.08-r1.apk
6.36KB
2024-10-25 22:08:07
perl-lwp-useragent-cached-doc-0.08-r1.apk
5.63KB
2024-10-25 22:08:07
perl-mastodon-client-0.017-r0.apk
22.04KB
2024-10-25 22:08:07
perl-mastodon-client-doc-0.017-r0.apk
32.96KB
2024-10-25 22:08:07
perl-math-int64-0.57-r2.apk
27.63KB
2025-07-01 21:10:43
perl-math-int64-doc-0.57-r2.apk
10.53KB
2025-07-01 21:10:43
perl-math-libm-1.00-r15.apk
9.19KB
2025-07-01 21:10:43
perl-math-libm-doc-1.00-r15.apk
3.21KB
2025-07-01 21:10:43
perl-math-random-0.72-r1.apk
33.31KB
2025-07-01 21:10:43
perl-math-random-doc-0.72-r1.apk
10.91KB
2025-07-01 21:10:43
perl-math-random-isaac-xs-1.004-r9.apk
7.17KB
2025-07-01 21:10:43
perl-math-random-isaac-xs-doc-1.004-r9.apk
3.83KB
2025-07-01 21:10:43
perl-mce-1.902-r0.apk
134.46KB
2025-09-10 06:59:14
perl-mce-doc-1.902-r0.apk
171.23KB
2025-09-10 06:59:14
perl-memoize-expirelru-0.56-r0.apk
6.22KB
2025-06-08 19:07:13
perl-memoize-expirelru-doc-0.56-r0.apk
3.84KB
2025-06-08 19:07:13
perl-minion-11.0-r0.apk
1.50MB
2025-08-27 11:15:06
perl-minion-backend-pg-11.0-r0.apk
9.57KB
2025-08-27 11:15:06
perl-minion-backend-redis-0.003-r0.apk
10.48KB
2024-10-25 22:08:07
perl-minion-backend-redis-doc-0.003-r0.apk
6.56KB
2024-10-25 22:08:07
perl-minion-backend-sqlite-5.0.7-r0.apk
10.10KB
2024-10-25 22:08:07
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
6.75KB
2024-10-25 22:08:07
perl-minion-doc-11.0-r0.apk
50.62KB
2025-08-27 11:15:06
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
3.42KB
2024-10-25 22:08:07
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
3.75KB
2024-10-25 22:08:07
perl-module-cpants-analyse-1.02-r0.apk
26.52KB
2025-07-15 13:28:49
perl-module-cpants-analyse-doc-1.02-r0.apk
28.29KB
2025-07-15 13:28:49
perl-module-extract-version-1.119-r0.apk
3.25KB
2025-09-09 16:17:33
perl-module-extract-version-doc-1.119-r0.apk
3.46KB
2025-09-09 16:17:33
perl-module-generic-1.0.6-r0.apk
316.29KB
2025-09-10 06:59:14
perl-module-generic-doc-1.0.6-r0.apk
259.12KB
2025-09-10 06:59:14
perl-module-path-0.19-r0.apk
4.71KB
2025-06-08 19:07:13
perl-module-path-doc-0.19-r0.apk
5.80KB
2025-06-08 19:07:13
perl-mojo-reactor-ioasync-1.002-r0.apk
4.72KB
2024-10-25 22:08:07
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
4.36KB
2024-10-25 22:08:07
perl-mojo-redis-3.29-r0.apk
25.16KB
2024-10-25 22:08:07
perl-mojo-redis-doc-3.29-r0.apk
24.59KB
2024-10-25 22:08:07
perl-mojo-sqlite-3.009-r0.apk
15.93KB
2024-10-25 22:08:07
perl-mojo-sqlite-doc-3.009-r0.apk
19.34KB
2024-10-25 22:08:07
perl-mojolicious-plugin-openapi-5.11-r0.apk
28.36KB
2025-03-19 13:44:15
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
33.46KB
2025-03-19 13:44:15
perl-moosex-configfromfile-0.14-r0.apk
4.08KB
2025-03-16 06:03:23
perl-moosex-configfromfile-doc-0.14-r0.apk
4.15KB
2025-03-16 06:03:23
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
5.43KB
2025-03-16 06:03:23
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
5.34KB
2025-03-16 06:03:23
perl-moosex-getopt-0.78-r0.apk
13.62KB
2025-03-16 06:03:23
perl-moosex-getopt-doc-0.78-r0.apk
24.72KB
2025-03-16 06:03:23
perl-moosex-markasmethods-0.15-r0.apk
5.10KB
2025-06-04 22:41:58
perl-moosex-markasmethods-doc-0.15-r0.apk
5.20KB
2025-06-04 22:41:58
perl-moosex-methodattributes-0.32-r0.apk
8.55KB
2025-03-19 13:44:15
perl-moosex-methodattributes-doc-0.32-r0.apk
22.05KB
2025-03-19 13:44:15
perl-moosex-nonmoose-0.27-r1.apk
8.19KB
2025-06-20 07:10:15
perl-moosex-nonmoose-doc-0.27-r1.apk
9.44KB
2025-06-20 07:10:15
perl-moosex-object-pluggable-0.0014-r0.apk
5.98KB
2025-04-23 00:24:52
perl-moosex-object-pluggable-doc-0.0014-r0.apk
5.49KB
2025-04-23 00:24:52
perl-moosex-relatedclassroles-0.004-r0.apk
2.80KB
2025-05-11 14:57:51
perl-moosex-relatedclassroles-doc-0.004-r0.apk
3.34KB
2025-05-11 14:57:51
perl-moosex-role-parameterized-1.11-r0.apk
7.73KB
2025-03-15 16:08:39
perl-moosex-role-parameterized-doc-1.11-r0.apk
23.50KB
2025-03-15 16:08:39
perl-moosex-simpleconfig-0.11-r0.apk
3.79KB
2025-03-16 06:03:23
perl-moosex-simpleconfig-doc-0.11-r0.apk
4.16KB
2025-03-16 06:03:23
perl-moosex-traits-pluggable-0.12-r0.apk
4.95KB
2025-05-11 14:57:51
perl-moosex-traits-pluggable-doc-0.12-r0.apk
4.21KB
2025-05-11 14:57:51
perl-moosex-types-loadableclass-0.016-r0.apk
3.22KB
2025-05-11 14:57:51
perl-moosex-types-loadableclass-doc-0.016-r0.apk
3.74KB
2025-05-11 14:57:51
perl-moosex-types-path-tiny-0.012-r0.apk
4.01KB
2025-03-15 16:08:39
perl-moosex-types-path-tiny-doc-0.012-r0.apk
4.11KB
2025-03-15 16:08:39
perl-moosex-types-stringlike-0.003-r0.apk
3.05KB
2025-03-15 16:08:39
perl-moosex-types-stringlike-doc-0.003-r0.apk
3.58KB
2025-03-15 16:08:39
perl-moox-typetiny-0.002003-r0.apk
3.55KB
2025-06-09 15:05:48
perl-moox-typetiny-doc-0.002003-r0.apk
3.16KB
2025-06-09 15:05:48
perl-multidimensional-0.014-r1.apk
4.62KB
2025-07-01 21:10:43
perl-multidimensional-doc-0.014-r1.apk
3.16KB
2025-07-01 21:10:43
perl-musicbrainz-discid-0.06-r2.apk
8.66KB
2025-07-01 21:10:43
perl-musicbrainz-discid-doc-0.06-r2.apk
4.36KB
2025-07-01 21:10:43
perl-net-address-ip-local-0.1.2-r0.apk
3.42KB
2024-10-25 22:08:07
perl-net-address-ip-local-doc-0.1.2-r0.apk
3.47KB
2024-10-25 22:08:07
perl-net-amqp-rabbitmq-2.40012-r1.apk
69.03KB
2025-07-01 21:10:43
perl-net-amqp-rabbitmq-doc-2.40012-r1.apk
9.75KB
2025-07-01 21:10:43
perl-net-async-redis-6.006-r0.apk
58.43KB
2024-12-11 18:22:06
perl-net-async-redis-doc-6.006-r0.apk
65.89KB
2024-12-11 18:22:06
perl-net-async-redis-xs-1.001-r2.apk
8.33KB
2025-07-01 21:10:43
perl-net-async-redis-xs-doc-1.001-r2.apk
5.39KB
2025-07-01 21:10:43
perl-net-curl-0.57-r1.apk
55.11KB
2025-07-01 21:10:43
perl-net-curl-doc-0.57-r1.apk
39.40KB
2025-07-01 21:10:43
perl-net-curl-promiser-0.20-r0.apk
8.85KB
2024-10-25 22:08:07
perl-net-curl-promiser-anyevent-0.20-r0.apk
2.70KB
2024-10-25 22:08:07
perl-net-curl-promiser-doc-0.20-r0.apk
11.63KB
2024-10-25 22:08:07
perl-net-curl-promiser-ioasync-0.20-r0.apk
2.96KB
2024-10-25 22:08:07
perl-net-curl-promiser-mojo-0.20-r0.apk
3.09KB
2024-10-25 22:08:07
perl-net-idn-encode-2.500-r2.apk
83.21KB
2025-07-01 21:10:43
perl-net-idn-encode-doc-2.500-r2.apk
21.83KB
2025-07-01 21:10:43
perl-net-irr-0.10-r0.apk
5.45KB
2024-10-25 22:08:07
perl-net-irr-doc-0.10-r0.apk
5.15KB
2024-10-25 22:08:07
perl-net-jabber-2.0-r0.apk
50.60KB
2024-10-25 22:08:07
perl-net-jabber-bot-2.1.7-r0.apk
13.47KB
2024-10-25 22:08:07
perl-net-jabber-bot-doc-2.1.7-r0.apk
7.56KB
2024-10-25 22:08:07
perl-net-jabber-doc-2.0-r0.apk
48.33KB
2024-10-25 22:08:07
perl-net-netmask-2.0003-r0.apk
13.52KB
2025-05-18 07:10:15
perl-net-netmask-doc-2.0003-r0.apk
8.55KB
2025-05-18 07:10:15
perl-net-patricia-1.23-r0.apk
18.29KB
2025-08-16 17:20:48
perl-net-patricia-doc-1.23-r0.apk
6.29KB
2025-08-16 17:20:48
perl-net-xmpp-1.05-r0.apk
57.64KB
2024-10-25 22:08:07
perl-net-xmpp-doc-1.05-r0.apk
43.62KB
2024-10-25 22:08:07
perl-netaddr-mac-0.98-r1.apk
10.81KB
2024-10-25 22:08:07
perl-netaddr-mac-doc-0.98-r1.apk
7.97KB
2024-10-25 22:08:07
perl-nice-try-1.3.17-r0.apk
27.86KB
2025-07-24 16:42:50
perl-nice-try-doc-1.3.17-r0.apk
12.50KB
2025-07-24 16:42:50
perl-number-format-1.76-r1.apk
15.24KB
2024-10-25 22:08:07
perl-number-format-doc-1.76-r1.apk
8.99KB
2024-10-25 22:08:07
perl-number-misc-1.2-r5.apk
5.17KB
2024-10-25 22:08:07
perl-number-misc-doc-1.2-r5.apk
4.37KB
2024-10-25 22:08:07
perl-number-tolerant-1.710-r0.apk
14.82KB
2024-10-25 22:08:07
perl-number-tolerant-doc-1.710-r0.apk
25.62KB
2024-10-25 22:08:07
perl-object-array-0.060-r0.apk
5.69KB
2024-10-25 22:08:07
perl-object-array-doc-0.060-r0.apk
6.96KB
2024-10-25 22:08:07
perl-object-pad-fieldattr-checked-0.12-r1.apk
7.79KB
2025-07-01 21:10:43
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk
4.53KB
2025-07-01 21:10:43
perl-object-signature-1.08-r0.apk
3.67KB
2025-04-04 14:56:57
perl-object-signature-doc-1.08-r0.apk
5.41KB
2025-04-04 14:56:57
perl-openapi-client-1.07-r0.apk
8.62KB
2024-10-25 22:08:07
perl-openapi-client-doc-1.07-r0.apk
7.33KB
2024-10-25 22:08:07
perl-opentracing-1.006-r0.apk
17.96KB
2024-10-25 22:08:07
perl-opentracing-doc-1.006-r0.apk
32.70KB
2024-10-25 22:08:07
perl-pango-1.227-r12.apk
70.54KB
2025-07-01 21:10:43
perl-pango-doc-1.227-r12.apk
82.37KB
2025-07-01 21:10:43
perl-parse-distname-0.05-r0.apk
5.47KB
2025-07-15 13:28:49
perl-parse-distname-doc-0.05-r0.apk
4.38KB
2025-07-15 13:28:49
perl-path-dispatcher-1.08-r0.apk
14.22KB
2025-06-09 15:05:48
perl-path-dispatcher-doc-1.08-r0.apk
37.73KB
2025-06-09 15:05:48
perl-path-iter-0.2-r3.apk
5.19KB
2024-10-25 22:08:07
perl-path-iter-doc-0.2-r3.apk
5.13KB
2024-10-25 22:08:07
perl-perlio-locale-0.10-r13.apk
4.31KB
2025-07-01 21:10:43
perl-perlio-locale-doc-0.10-r13.apk
3.11KB
2025-07-01 21:10:43
perl-plack-middleware-expires-0.06-r3.apk
3.85KB
2024-10-25 22:08:07
perl-plack-middleware-expires-doc-0.06-r3.apk
3.32KB
2024-10-25 22:08:07
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
3.08KB
2024-12-26 11:36:36
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
3.07KB
2024-12-26 11:36:36
perl-plack-middleware-methodoverride-0.20-r0.apk
3.64KB
2025-03-19 13:44:15
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
4.04KB
2025-03-19 13:44:15
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2.50KB
2024-12-27 21:25:03
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
3.08KB
2024-12-27 21:25:03
perl-plack-middleware-reverseproxy-0.16-r2.apk
3.14KB
2024-10-25 22:08:07
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
3.03KB
2024-10-25 22:08:07
perl-plack-test-externalserver-0.02-r0.apk
2.81KB
2025-03-19 13:44:15
perl-plack-test-externalserver-doc-0.02-r0.apk
3.13KB
2025-03-19 13:44:15
perl-pod-cpandoc-0.16-r6.apk
4.55KB
2024-10-25 22:08:07
perl-pod-cpandoc-doc-0.16-r6.apk
4.88KB
2024-10-25 22:08:07
perl-pod-tidy-0.10-r1.apk
10.36KB
2024-10-25 22:08:07
perl-pod-tidy-doc-0.10-r1.apk
10.53KB
2024-10-25 22:08:07
perl-power-tools-1.052-r0.apk
365.73KB
2025-07-24 16:42:50
perl-power-tools-doc-1.052-r0.apk
247.20KB
2025-07-24 16:42:50
perl-ppi-xs-0.910-r2.apk
5.57KB
2025-07-01 21:10:43
perl-ppi-xs-doc-0.910-r2.apk
3.43KB
2025-07-01 21:10:43
perl-prereqscanner-notquitelite-0.9917-r0.apk
41.03KB
2025-07-15 13:28:49
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
49.08KB
2025-07-15 13:28:49
perl-proc-guard-0.07-r4.apk
3.67KB
2024-10-25 22:08:07
perl-proc-guard-doc-0.07-r4.apk
3.52KB
2024-10-25 22:08:07
perl-promise-es6-0.28-r0.apk
10.70KB
2024-10-25 22:08:07
perl-promise-es6-anyevent-0.28-r0.apk
2.49KB
2024-10-25 22:08:07
perl-promise-es6-doc-0.28-r0.apk
12.05KB
2024-10-25 22:08:07
perl-promise-es6-future-0.28-r0.apk
2.28KB
2024-10-25 22:08:07
perl-promise-es6-io-async-0.28-r0.apk
2.94KB
2024-10-25 22:08:07
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2.56KB
2024-10-25 22:08:07
perl-promise-me-0.6.0-r0.apk
27.14KB
2025-08-09 12:47:03
perl-promise-me-doc-0.6.0-r0.apk
12.83KB
2025-08-09 12:47:03
perl-promise-xs-0.20-r2.apk
20.58KB
2025-07-01 21:10:43
perl-promise-xs-doc-0.20-r2.apk
8.76KB
2025-07-01 21:10:43
perl-protocol-database-postgresql-2.001-r0.apk
19.16KB
2024-10-25 22:08:07
perl-protocol-database-postgresql-doc-2.001-r0.apk
35.84KB
2024-10-25 22:08:07
perl-protocol-redis-1.0021-r0.apk
5.61KB
2024-10-25 22:08:07
perl-protocol-redis-doc-1.0021-r0.apk
5.03KB
2024-10-25 22:08:07
perl-protocol-redis-faster-0.003-r0.apk
3.41KB
2024-10-25 22:08:07
perl-protocol-redis-faster-doc-0.003-r0.apk
3.30KB
2024-10-25 22:08:07
perl-ref-util-xs-0.117-r9.apk
8.40KB
2025-07-01 21:10:43
perl-ref-util-xs-doc-0.117-r9.apk
3.47KB
2025-07-01 21:10:43
perl-regexp-trie-0.02-r0.apk
2.98KB
2025-07-15 13:28:49
perl-regexp-trie-doc-0.02-r0.apk
3.31KB
2025-07-15 13:28:49
perl-role-eventemitter-0.003-r0.apk
3.65KB
2024-10-25 22:08:07
perl-role-eventemitter-doc-0.003-r0.apk
3.93KB
2024-10-25 22:08:07
perl-rxperl-6.29.8-r0.apk
26.28KB
2024-10-25 22:08:07
perl-rxperl-anyevent-6.8.1-r0.apk
2.71KB
2024-10-25 22:08:07
perl-rxperl-anyevent-doc-6.8.1-r0.apk
8.94KB
2024-10-25 22:08:07
perl-rxperl-doc-6.29.8-r0.apk
22.57KB
2024-10-25 22:08:07
perl-rxperl-ioasync-6.9.1-r0.apk
2.81KB
2024-10-25 22:08:07
perl-rxperl-ioasync-doc-6.9.1-r0.apk
8.98KB
2024-10-25 22:08:07
perl-rxperl-mojo-6.8.2-r0.apk
2.84KB
2024-10-25 22:08:07
perl-rxperl-mojo-doc-6.8.2-r0.apk
9.06KB
2024-10-25 22:08:07
perl-ryu-4.001-r0.apk
26.06KB
2024-10-25 22:08:07
perl-ryu-async-0.020-r0.apk
7.49KB
2024-10-25 22:08:07
perl-ryu-async-doc-0.020-r0.apk
11.82KB
2024-10-25 22:08:07
perl-ryu-doc-4.001-r0.apk
35.39KB
2024-10-25 22:08:07
perl-scalar-readonly-0.03-r2.apk
5.04KB
2025-07-01 21:10:43
perl-scalar-readonly-doc-0.03-r2.apk
3.43KB
2025-07-01 21:10:43
perl-set-infinite-0.65-r0.apk
22.89KB
2025-07-24 16:42:50
perl-set-infinite-doc-0.65-r0.apk
11.46KB
2025-07-24 16:42:50
perl-shell-config-generate-0.34-r0.apk
7.84KB
2025-06-08 19:07:13
perl-shell-config-generate-doc-0.34-r0.apk
6.83KB
2025-06-08 19:07:13
perl-shell-guess-0.10-r0.apk
5.97KB
2025-06-07 17:55:10
perl-shell-guess-doc-0.10-r0.apk
5.78KB
2025-06-07 17:55:10
perl-signature-attribute-checked-0.06-r1.apk
7.42KB
2025-07-01 21:10:43
perl-signature-attribute-checked-doc-0.06-r1.apk
4.64KB
2025-07-01 21:10:43
perl-smart-comments-1.06-r0.apk
12.28KB
2025-07-07 20:02:25
perl-smart-comments-doc-1.06-r0.apk
8.52KB
2025-07-07 20:02:25
perl-snmp-5.0404-r14.apk
63.76KB
2025-07-01 21:10:43
perl-snmp-doc-5.0404-r14.apk
14.10KB
2025-07-01 21:10:43
perl-snmp-info-3.974000-r0.apk
336.95KB
2025-09-12 07:49:56
perl-snmp-info-doc-3.974000-r0.apk
389.58KB
2025-09-12 07:49:56
perl-snowball-norwegian-1.2-r0.apk
5.27KB
2025-06-08 19:07:13
perl-snowball-norwegian-doc-1.2-r0.apk
3.90KB
2025-06-08 19:07:13
perl-snowball-swedish-1.2-r0.apk
5.24KB
2025-06-08 19:07:13
perl-snowball-swedish-doc-1.2-r0.apk
3.86KB
2025-06-08 19:07:13
perl-soap-lite-1.27-r5.apk
110.20KB
2024-10-25 22:08:07
perl-soap-lite-doc-1.27-r5.apk
90.45KB
2024-10-25 22:08:07
perl-software-license-0.104007-r0.apk
106.63KB
2025-07-08 19:53:32
perl-software-license-doc-0.104007-r0.apk
50.44KB
2025-07-08 19:53:32
perl-sort-naturally-1.03-r4.apk
8.65KB
2024-10-25 22:08:07
perl-sort-naturally-doc-1.03-r4.apk
5.44KB
2024-10-25 22:08:07
perl-sort-versions-1.62-r0.apk
3.72KB
2024-10-25 22:08:07
perl-sort-versions-doc-1.62-r0.apk
4.12KB
2024-10-25 22:08:07
perl-sql-abstract-classic-1.91-r1.apk
29.50KB
2024-10-25 22:08:07
perl-sql-abstract-classic-doc-1.91-r1.apk
20.21KB
2024-10-25 22:08:07
perl-sql-abstract-more-1.44-r0.apk
27.57KB
2025-07-15 13:28:49
perl-sql-abstract-more-doc-1.44-r0.apk
16.67KB
2025-07-15 13:28:49
perl-starman-0.4017-r0.apk
13.42KB
2024-10-25 22:08:07
perl-starman-doc-0.4017-r0.apk
9.98KB
2024-10-25 22:08:07
perl-statistics-basic-1.6611-r0.apk
9.21KB
2024-10-25 22:08:07
perl-statistics-basic-doc-1.6611-r0.apk
49.78KB
2024-10-25 22:08:07
perl-statistics-descriptive-3.0801-r0.apk
30.29KB
2024-10-25 22:08:07
perl-statistics-descriptive-doc-3.0801-r0.apk
37.49KB
2024-10-25 22:08:07
perl-storable-improved-0.1.3-r0.apk
6.68KB
2024-10-25 22:08:07
perl-storable-improved-doc-0.1.3-r0.apk
6.89KB
2024-10-25 22:08:07
perl-string-camelcase-0.04-r2.apk
3.17KB
2024-10-25 22:08:07
perl-string-camelcase-doc-0.04-r2.apk
3.43KB
2024-10-25 22:08:07
perl-string-compare-constanttime-0.321-r7.apk
7.02KB
2025-07-01 21:10:43
perl-string-compare-constanttime-doc-0.321-r7.apk
5.29KB
2025-07-01 21:10:43
perl-string-crc32-2.100-r5.apk
6.54KB
2025-07-01 21:10:43
perl-string-crc32-doc-2.100-r5.apk
3.44KB
2025-07-01 21:10:43
perl-string-escape-2010.002-r0.apk
8.87KB
2025-03-29 20:40:19
perl-string-escape-doc-2010.002-r0.apk
7.83KB
2025-03-29 20:40:19
perl-string-random-0.32-r2.apk
7.89KB
2024-10-25 22:08:07
perl-string-random-doc-0.32-r2.apk
6.17KB
2024-10-25 22:08:07
perl-string-toidentifier-en-0.12-r0.apk
5.44KB
2025-06-09 15:06:08
perl-string-toidentifier-en-doc-0.12-r0.apk
5.58KB
2025-06-09 15:06:08
perl-syntax-keyword-match-0.15-r1.apk
12.91KB
2025-07-01 21:10:43
perl-syntax-keyword-match-doc-0.15-r1.apk
7.84KB
2025-07-01 21:10:43
perl-syntax-operator-equ-0.10-r1.apk
7.63KB
2025-07-01 21:10:43
perl-syntax-operator-equ-doc-0.10-r1.apk
6.57KB
2025-07-01 21:10:43
perl-syntax-operator-in-0.10-r1.apk
8.96KB
2025-07-01 21:10:43
perl-syntax-operator-in-doc-0.10-r1.apk
5.91KB
2025-07-01 21:10:43
perl-sys-syscall-0.25-r10.apk
5.35KB
2024-10-25 22:08:07
perl-sys-syscall-doc-0.25-r10.apk
3.69KB
2024-10-25 22:08:07
perl-sys-virt-11.6.0-r0.apk
196.98KB
2025-08-14 16:41:06
perl-sys-virt-doc-11.6.0-r0.apk
105.62KB
2025-08-14 16:41:06
perl-system-command-1.122-r0.apk
11.82KB
2024-10-25 22:08:07
perl-system-command-doc-1.122-r0.apk
10.17KB
2024-10-25 22:08:07
perl-task-catalyst-4.02-r0.apk
2.95KB
2025-06-15 07:46:47
perl-task-catalyst-doc-4.02-r0.apk
3.68KB
2025-06-15 07:46:47
perl-template-plugin-csv-0.04-r3.apk
2.69KB
2024-10-25 22:08:07
perl-template-plugin-csv-doc-0.04-r3.apk
3.01KB
2024-10-25 22:08:07
perl-template-plugin-number-format-1.06-r4.apk
4.88KB
2024-10-25 22:08:07
perl-template-plugin-number-format-doc-1.06-r4.apk
4.37KB
2024-10-25 22:08:07
perl-template-timer-1.00-r0.apk
3.43KB
2025-04-12 18:51:53
perl-template-timer-doc-1.00-r0.apk
3.66KB
2025-04-12 18:51:53
perl-template-tiny-1.16-r0.apk
5.55KB
2025-07-24 16:42:50
perl-template-tiny-doc-1.16-r0.apk
5.02KB
2025-07-24 16:42:50
perl-term-size-0.211-r5.apk
5.43KB
2025-07-01 21:10:43
perl-term-size-doc-0.211-r5.apk
3.80KB
2025-07-01 21:10:43
perl-term-ui-0.50-r1.apk
9.97KB
2024-10-25 22:08:07
perl-term-ui-doc-0.50-r1.apk
8.48KB
2024-10-25 22:08:07
perl-test-api-0.010-r2.apk
5.07KB
2024-10-25 22:08:07
perl-test-api-doc-0.010-r2.apk
4.23KB
2024-10-25 22:08:07
perl-test-class-tiny-0.03-r0.apk
5.85KB
2024-10-25 22:08:07
perl-test-class-tiny-doc-0.03-r0.apk
5.42KB
2024-10-25 22:08:07
perl-test-describeme-0.004-r0.apk
3.59KB
2024-10-25 22:08:07
perl-test-describeme-doc-0.004-r0.apk
4.18KB
2024-10-25 22:08:07
perl-test-distribution-2.00-r1.apk
7.73KB
2024-10-25 22:08:07
perl-test-distribution-doc-2.00-r1.apk
6.06KB
2024-10-25 22:08:07
perl-test-expander-2.5.1-r0.apk
7.11KB
2024-10-25 22:08:07
perl-test-expander-doc-2.5.1-r0.apk
20.08KB
2024-10-25 22:08:07
perl-test-expect-0.34-r0.apk
3.47KB
2025-04-23 00:24:52
perl-test-expect-doc-0.34-r0.apk
3.58KB
2025-04-23 00:24:52
perl-test-file-1.995-r0.apk
11.41KB
2025-04-19 20:55:03
perl-test-file-doc-1.995-r0.apk
6.79KB
2025-04-19 20:55:03
perl-test-files-0.26-r0.apk
6.71KB
2024-10-25 22:08:07
perl-test-files-doc-0.26-r0.apk
14.58KB
2024-10-25 22:08:07
perl-test-kwalitee-1.28-r0.apk
6.38KB
2025-07-15 13:28:49
perl-test-kwalitee-doc-1.28-r0.apk
6.89KB
2025-07-15 13:28:49
perl-test-lwp-useragent-0.036-r0.apk
9.81KB
2024-10-25 22:08:07
perl-test-lwp-useragent-doc-0.036-r0.apk
8.33KB
2024-10-25 22:08:07
perl-test-memorygrowth-0.05-r0.apk
6.42KB
2024-10-25 22:08:07
perl-test-memorygrowth-doc-0.05-r0.apk
5.29KB
2024-10-25 22:08:07
perl-test-modern-0.013-r3.apk
14.60KB
2024-10-25 22:08:07
perl-test-modern-doc-0.013-r3.apk
9.84KB
2024-10-25 22:08:07
perl-test-perl-critic-1.04-r0.apk
6.84KB
2025-07-15 13:28:49
perl-test-perl-critic-doc-1.04-r0.apk
6.41KB
2025-07-15 13:28:49
perl-test-randomresult-0.001-r0.apk
3.52KB
2024-10-25 22:08:07
perl-test-randomresult-doc-0.001-r0.apk
3.67KB
2024-10-25 22:08:07
perl-test-requires-git-1.008-r0.apk
4.76KB
2024-10-25 22:08:07
perl-test-requires-git-doc-1.008-r0.apk
4.38KB
2024-10-25 22:08:07
perl-test-roo-1.004-r3.apk
11.89KB
2024-10-25 22:08:07
perl-test-roo-doc-1.004-r3.apk
15.44KB
2024-10-25 22:08:07
perl-test-settings-0.003-r0.apk
4.95KB
2024-10-25 22:08:07
perl-test-settings-doc-0.003-r0.apk
6.04KB
2024-10-25 22:08:07
perl-test-timer-2.12-r2.apk
8.87KB
2024-10-25 22:08:07
perl-test-timer-doc-2.12-r2.apk
8.37KB
2024-10-25 22:08:07
perl-test-toolbox-0.4-r5.apk
9.83KB
2024-10-25 22:08:07
perl-test-toolbox-doc-0.4-r5.apk
6.15KB
2024-10-25 22:08:07
perl-test-trap-0.3.5-r1.apk
19.75KB
2024-10-25 22:08:07
perl-test-trap-doc-0.3.5-r1.apk
19.88KB
2024-10-25 22:08:07
perl-test-unit-0.27-r0.apk
36.84KB
2024-10-25 22:08:07
perl-test-unit-doc-0.27-r0.apk
48.31KB
2024-10-25 22:08:07
perl-test-useallmodules-0.17-r1.apk
3.80KB
2024-10-25 22:08:07
perl-test-useallmodules-doc-0.17-r1.apk
3.84KB
2024-10-25 22:08:07
perl-test-utf8-1.03-r0.apk
5.57KB
2024-11-20 04:43:39
perl-test-utf8-doc-1.03-r0.apk
4.86KB
2024-11-20 04:43:39
perl-test-www-mechanize-1.60-r0.apk
14.81KB
2025-04-13 17:57:02
perl-test-www-mechanize-catalyst-0.62-r0.apk
7.36KB
2025-04-20 07:32:44
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
6.22KB
2025-04-20 07:32:44
perl-test-www-mechanize-doc-1.60-r0.apk
10.08KB
2025-04-13 17:57:02
perl-test2-tools-explain-0.02-r0.apk
3.79KB
2024-10-25 22:08:07
perl-test2-tools-explain-doc-0.02-r0.apk
4.41KB
2024-10-25 22:08:07
perl-text-brew-0.02-r5.apk
4.51KB
2024-10-25 22:08:07
perl-text-brew-doc-0.02-r5.apk
4.11KB
2024-10-25 22:08:07
perl-text-german-0.06-r0.apk
13.09KB
2025-06-07 05:00:14
perl-text-german-doc-0.06-r0.apk
3.03KB
2025-06-07 05:00:14
perl-text-simpletable-2.07-r0.apk
4.46KB
2025-03-19 13:44:15
perl-text-simpletable-doc-2.07-r0.apk
3.45KB
2025-03-19 13:44:15
perl-text-table-any-0.117-r0.apk
8.05KB
2024-10-25 22:08:07
perl-text-table-any-doc-0.117-r0.apk
6.62KB
2024-10-25 22:08:07
perl-text-table-sprintf-0.008-r0.apk
5.34KB
2024-10-25 22:08:07
perl-text-table-sprintf-doc-0.008-r0.apk
5.20KB
2024-10-25 22:08:07
perl-text-worddiff-0.09-r0.apk
10.44KB
2025-06-09 19:26:13
perl-text-worddiff-doc-0.09-r0.apk
13.67KB
2025-06-09 19:26:13
perl-throwable-1.001-r1.apk
6.20KB
2024-10-25 22:08:07
perl-throwable-doc-1.001-r1.apk
7.99KB
2024-10-25 22:08:07
perl-tickit-widget-choice-0.07-r0.apk
3.91KB
2024-10-25 22:08:07
perl-tickit-widget-choice-doc-0.07-r0.apk
3.39KB
2024-10-25 22:08:07
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
4.61KB
2024-10-25 22:08:07
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
3.82KB
2024-10-25 22:08:07
perl-tickit-widget-floatbox-0.11-r0.apk
4.68KB
2024-10-25 22:08:07
perl-tickit-widget-floatbox-doc-0.11-r0.apk
4.13KB
2024-10-25 22:08:07
perl-tickit-widget-menu-0.16-r0.apk
7.16KB
2024-10-25 22:08:07
perl-tickit-widget-menu-doc-0.16-r0.apk
6.89KB
2024-10-25 22:08:07
perl-tickit-widget-scrollbox-0.12-r0.apk
7.98KB
2024-10-25 22:08:07
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
6.52KB
2024-10-25 22:08:07
perl-tie-toobject-0.03-r0.apk
2.60KB
2025-03-19 13:44:15
perl-tie-toobject-doc-0.03-r0.apk
3.17KB
2025-03-19 13:44:15
perl-time-moment-0.44-r1.apk
37.17KB
2025-07-01 21:10:43
perl-time-moment-doc-0.44-r1.apk
30.91KB
2025-07-01 21:10:43
perl-time-moment-role-strptime-0.001-r0.apk
2.75KB
2024-10-25 22:08:07
perl-time-moment-role-strptime-doc-0.001-r0.apk
3.36KB
2024-10-25 22:08:07
perl-time-moment-role-timezone-1.000-r0.apk
3.56KB
2024-10-25 22:08:07
perl-time-moment-role-timezone-doc-1.000-r0.apk
3.98KB
2024-10-25 22:08:07
perl-time-timegm-0.01-r10.apk
6.35KB
2025-07-01 21:10:43
perl-time-timegm-doc-0.01-r10.apk
3.87KB
2025-07-01 21:10:43
perl-tree-simple-visitorfactory-0.16-r0.apk
19.91KB
2025-03-19 13:44:15
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
46.17KB
2025-03-19 13:44:15
perl-types-path-tiny-0.006-r0.apk
3.93KB
2024-10-25 22:08:07
perl-types-path-tiny-doc-0.006-r0.apk
4.07KB
2024-10-25 22:08:07
perl-uri-db-0.23-r0.apk
10.89KB
2025-01-09 07:26:52
perl-uri-db-doc-0.23-r0.apk
8.35KB
2025-01-09 07:26:52
perl-uri-fetch-0.15-r0.apk
7.03KB
2024-10-25 22:08:07
perl-uri-fetch-doc-0.15-r0.apk
7.50KB
2024-10-25 22:08:07
perl-uri-find-20160806-r0.apk
13.62KB
2025-03-23 09:58:39
perl-uri-find-doc-20160806-r0.apk
9.12KB
2025-03-23 09:58:39
perl-uri-nested-0.10-r0.apk
3.98KB
2024-10-25 22:08:07
perl-uri-nested-doc-0.10-r0.apk
3.88KB
2024-10-25 22:08:07
perl-uri-redis-0.02-r0.apk
3.16KB
2024-10-25 22:08:07
perl-uri-redis-doc-0.02-r0.apk
4.55KB
2024-10-25 22:08:07
perl-uri-tcp-2.0.0-r0.apk
2.69KB
2024-10-25 22:08:07
perl-uri-tcp-doc-2.0.0-r0.apk
4.93KB
2024-10-25 22:08:07
perl-uri-ws-0.03-r0.apk
2.34KB
2025-03-19 13:44:15
perl-uri-ws-doc-0.03-r0.apk
4.33KB
2025-03-19 13:44:15
perl-url-encode-0.03-r4.apk
5.13KB
2024-10-25 22:08:07
perl-url-encode-doc-0.03-r4.apk
4.67KB
2024-10-25 22:08:07
perl-variable-disposition-0.005-r0.apk
3.25KB
2024-10-25 22:08:07
perl-variable-disposition-doc-0.005-r0.apk
5.63KB
2024-10-25 22:08:07
perl-wanted-0.1.0-r0.apk
18.31KB
2025-08-09 12:47:03
perl-wanted-doc-0.1.0-r0.apk
10.73KB
2025-08-09 12:47:03
perl-web-machine-0.17-r0.apk
20.28KB
2025-06-13 21:17:29
perl-web-machine-doc-0.17-r0.apk
28.70KB
2025-06-13 21:17:29
perl-web-scraper-0.38-r0.apk
7.53KB
2025-07-15 13:28:49
perl-web-scraper-doc-0.38-r0.apk
8.07KB
2025-07-15 13:28:49
perl-x-tiny-0.22-r0.apk
6.87KB
2024-10-25 22:08:07
perl-x-tiny-doc-0.22-r0.apk
7.60KB
2024-10-25 22:08:07
perl-xml-atom-0.43-r0.apk
19.59KB
2024-10-25 22:08:07
perl-xml-atom-doc-0.43-r0.apk
15.89KB
2024-10-25 22:08:07
perl-xml-bare-0.53-r14.apk
26.25KB
2025-07-01 21:10:43
perl-xml-bare-doc-0.53-r14.apk
11.46KB
2025-07-01 21:10:43
perl-xml-feed-0.65-r0.apk
13.85KB
2024-10-25 22:08:07
perl-xml-feed-doc-0.65-r0.apk
12.39KB
2024-10-25 22:08:07
perl-xml-parser-style-easytree-0.09-r0.apk
4.97KB
2024-10-25 22:08:07
perl-xml-parser-style-easytree-doc-0.09-r0.apk
5.42KB
2024-10-25 22:08:07
perl-xml-rpc-2.1-r0.apk
5.73KB
2024-10-25 22:08:07
perl-xml-rpc-doc-2.1-r0.apk
4.86KB
2024-10-25 22:08:07
perl-xml-stream-1.24-r0.apk
44.02KB
2024-10-25 22:08:07
perl-xml-stream-doc-1.24-r0.apk
17.64KB
2024-10-25 22:08:07
perl-xml-xpathengine-0.14-r0.apk
21.70KB
2025-07-08 19:54:08
perl-xml-xpathengine-doc-0.14-r0.apk
11.03KB
2025-07-08 19:54:08
persistent-cache-cpp-1.0.9-r0.apk
42.04KB
2025-09-09 16:17:33
persistent-cache-cpp-dev-1.0.9-r0.apk
17.84KB
2025-09-09 16:17:33
persistent-cache-cpp-doc-1.0.9-r0.apk
3.20KB
2025-09-09 16:17:33
pest-language-server-0.3.9-r0.apk
0.96MB
2024-10-25 22:08:07
pfetch-1.9.0-r0.apk
23.17KB
2025-04-11 21:19:11
pfetch-doc-1.9.0-r0.apk
5.72KB
2025-04-11 21:19:11
pgcat-1.2.0-r1.apk
2.27MB
2025-01-01 19:37:40
pgcat-openrc-1.2.0-r1.apk
1.88KB
2025-01-01 19:37:40
phoronix-test-suite-10.8.4-r2.apk
3.95MB
2024-10-25 22:08:07
phoronix-test-suite-bash-completion-10.8.4-r2.apk
1.75KB
2024-10-25 22:08:07
phoronix-test-suite-doc-10.8.4-r2.apk
287.46KB
2024-10-25 22:08:07
phosh-tour-0.47.0-r0.apk
37.39KB
2025-09-09 16:17:33
phosh-tour-lang-0.47.0-r0.apk
30.62KB
2025-09-09 16:17:33
php81-8.1.33-r0.apk
1.69MB
2025-07-03 01:15:09
php81-apache2-8.1.33-r0.apk
1.66MB
2025-07-03 01:15:09
php81-bcmath-8.1.33-r0.apk
15.03KB
2025-07-03 01:15:09
php81-bz2-8.1.33-r0.apk
9.09KB
2025-07-03 01:15:09
php81-calendar-8.1.33-r0.apk
13.07KB
2025-07-03 01:15:09
php81-cgi-8.1.33-r0.apk
1.67MB
2025-07-03 01:15:09
php81-common-8.1.33-r0.apk
25.15KB
2025-07-03 01:15:09
php81-ctype-8.1.33-r0.apk
4.62KB
2025-07-03 01:15:09
php81-curl-8.1.33-r0.apk
35.16KB
2025-07-03 01:15:09
php81-dba-8.1.33-r0.apk
20.30KB
2025-07-03 01:15:09
php81-dev-8.1.33-r0.apk
939.05KB
2025-07-03 01:15:09
php81-doc-8.1.33-r0.apk
68.13KB
2025-07-03 01:15:09
php81-dom-8.1.33-r0.apk
56.86KB
2025-07-03 01:15:09
php81-embed-8.1.33-r0.apk
1.66MB
2025-07-03 01:15:10
php81-enchant-8.1.33-r0.apk
8.05KB
2025-07-03 01:15:10
php81-exif-8.1.33-r0.apk
29.94KB
2025-07-03 01:15:10
php81-ffi-8.1.33-r0.apk
64.81KB
2025-07-03 01:15:10
php81-fileinfo-8.1.33-r0.apk
375.67KB
2025-07-03 01:15:10
php81-fpm-8.1.33-r0.apk
1.74MB
2025-07-03 01:15:10
php81-ftp-8.1.33-r0.apk
21.66KB
2025-07-03 01:15:10
php81-gd-8.1.33-r0.apk
116.23KB
2025-07-03 01:15:10
php81-gettext-8.1.33-r0.apk
5.60KB
2025-07-03 01:15:10
php81-gmp-8.1.33-r0.apk
19.55KB
2025-07-03 01:15:10
php81-iconv-8.1.33-r0.apk
16.37KB
2025-07-03 01:15:10
php81-imap-8.1.33-r0.apk
31.86KB
2025-07-03 01:15:10
php81-intl-8.1.33-r0.apk
132.97KB
2025-07-03 01:15:10
php81-ldap-8.1.33-r0.apk
29.62KB
2025-07-03 01:15:10
php81-litespeed-8.1.33-r0.apk
1.68MB
2025-07-03 01:15:10
php81-mbstring-8.1.33-r0.apk
562.25KB
2025-07-03 01:15:10
php81-mysqli-8.1.33-r0.apk
40.01KB
2025-07-03 01:15:10
php81-mysqlnd-8.1.33-r0.apk
73.72KB
2025-07-03 01:15:10
php81-odbc-8.1.33-r0.apk
22.08KB
2025-07-03 01:15:10
php81-opcache-8.1.33-r0.apk
65.94KB
2025-07-03 01:15:10
php81-openssl-8.1.33-r0.apk
68.90KB
2025-07-03 01:15:10
php81-pcntl-8.1.33-r0.apk
12.76KB
2025-07-03 01:15:10
php81-pdo-8.1.33-r0.apk
38.85KB
2025-07-03 01:15:10
php81-pdo_dblib-8.1.33-r0.apk
11.31KB
2025-07-03 01:15:10
php81-pdo_mysql-8.1.33-r0.apk
12.29KB
2025-07-03 01:15:10
php81-pdo_odbc-8.1.33-r0.apk
11.84KB
2025-07-03 01:15:10
php81-pdo_pgsql-8.1.33-r0.apk
17.74KB
2025-07-03 01:15:10
php81-pdo_sqlite-8.1.33-r0.apk
11.66KB
2025-07-03 01:15:10
php81-pear-8.1.33-r0.apk
337.86KB
2025-07-03 01:15:10
php81-pecl-amqp-2.1.2-r0.apk
55.01KB
2024-10-25 22:08:07
php81-pecl-apcu-5.1.27-r0.apk
51.20KB
2025-08-29 00:50:22
php81-pecl-ast-1.1.3-r0.apk
20.23KB
2025-08-10 20:43:29
php81-pecl-brotli-0.18.2-r0.apk
13.13KB
2025-08-18 02:32:08
php81-pecl-couchbase-4.3.0-r0.apk
4.16MB
2025-06-15 07:00:11
php81-pecl-csv-0.4.3-r0.apk
9.22KB
2025-02-25 17:45:33
php81-pecl-decimal-1.5.0-r1.apk
16.83KB
2024-10-25 22:08:07
php81-pecl-ds-1.6.0-r0.apk
49.27KB
2025-05-11 14:57:51
php81-pecl-event-3.1.4-r0.apk
45.83KB
2024-10-25 22:08:07
php81-pecl-grpc-1.74.0-r1.apk
3.88MB
2025-08-18 18:35:50
php81-pecl-igbinary-3.2.16-r0.apk
27.55KB
2024-10-25 22:08:08
php81-pecl-imagick-3.8.0-r1.apk
100.46KB
2025-09-25 02:47:15
php81-pecl-imagick-dev-3.8.0-r1.apk
2.29KB
2025-09-25 02:47:15
php81-pecl-immutable_cache-6.1.0-r0.apk
35.78KB
2024-10-25 22:08:08
php81-pecl-jsmin-3.0.0-r0.apk
9.11KB
2024-10-25 22:08:08
php81-pecl-luasandbox-4.1.2-r0.apk
27.41KB
2024-10-25 22:08:08
php81-pecl-lzf-1.7.0-r0.apk
6.40KB
2024-10-25 22:08:08
php81-pecl-mailparse-3.1.8-r0.apk
20.34KB
2024-10-25 22:08:08
php81-pecl-maxminddb-1.12.1-r0.apk
7.79KB
2025-05-11 14:57:51
php81-pecl-mcrypt-1.0.9-r0.apk
14.34KB
2025-08-08 19:10:48
php81-pecl-memcache-8.2-r1.apk
38.98KB
2024-10-25 22:08:08
php81-pecl-memcached-3.3.0-r0.apk
42.96KB
2024-10-25 22:08:08
php81-pecl-mongodb-2.1.1-r0.apk
772.76KB
2025-06-15 07:00:11
php81-pecl-msgpack-3.0.0-r0.apk
25.14KB
2024-10-25 22:08:08
php81-pecl-oauth-2.0.9-r0.apk
33.06KB
2024-10-25 22:08:08
php81-pecl-opentelemetry-1.2.0-r0.apk
11.26KB
2025-07-15 13:28:49
php81-pecl-pcov-1.0.12-r0.apk
8.62KB
2024-12-04 19:17:33
php81-pecl-protobuf-4.32.0-r0.apk
130.39KB
2025-08-18 03:55:10
php81-pecl-psr-1.2.0-r0.apk
17.34KB
2024-10-25 22:08:08
php81-pecl-rdkafka-6.0.5-r0.apk
33.56KB
2024-11-04 14:51:59
php81-pecl-redis-6.2.0-r0.apk
179.41KB
2025-03-27 12:58:22
php81-pecl-smbclient-1.2.0_pre-r0.apk
18.95KB
2024-12-10 21:01:57
php81-pecl-ssh2-1.4.1-r0.apk
25.88KB
2024-10-25 22:08:08
php81-pecl-timezonedb-2025.2-r0.apk
190.64KB
2025-03-27 13:06:39
php81-pecl-uploadprogress-2.0.2-r1.apk
6.56KB
2024-10-25 22:08:08
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
9.92KB
2024-10-25 22:08:08
php81-pecl-uuid-1.3.0-r0.apk
6.17KB
2025-05-13 02:18:06
php81-pecl-xdebug-3.4.5-r0.apk
133.24KB
2025-07-24 16:42:50
php81-pecl-xhprof-2.3.10-r0.apk
11.31KB
2024-10-25 22:08:08
php81-pecl-xhprof-assets-2.3.10-r0.apk
800.61KB
2024-10-25 22:08:08
php81-pecl-xlswriter-1.5.8-r0.apk
201.10KB
2024-11-11 03:44:24
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
30.87KB
2024-10-25 22:08:08
php81-pecl-yaml-2.2.5-r0.apk
16.72KB
2025-08-08 19:10:48
php81-pecl-zephir_parser-1.7.0-r0.apk
62.73KB
2024-11-24 19:25:14
php81-pecl-zstd-0.15.2-r0.apk
15.18KB
2025-09-09 22:23:21
php81-pgsql-8.1.33-r0.apk
42.62KB
2025-07-03 01:15:10
php81-phar-8.1.33-r0.apk
117.02KB
2025-07-03 01:15:10
php81-phpdbg-8.1.33-r0.apk
1.73MB
2025-07-03 01:15:10
php81-posix-8.1.33-r0.apk
10.30KB
2025-07-03 01:15:10
php81-pspell-8.1.33-r0.apk
7.78KB
2025-07-03 01:15:10
php81-session-8.1.33-r0.apk
34.78KB
2025-07-03 01:15:10
php81-shmop-8.1.33-r0.apk
5.84KB
2025-07-03 01:15:10
php81-simplexml-8.1.33-r0.apk
20.73KB
2025-07-03 01:15:10
php81-snmp-8.1.33-r0.apk
19.28KB
2025-07-03 01:15:10
php81-soap-8.1.33-r0.apk
127.67KB
2025-07-03 01:15:10
php81-sockets-8.1.33-r0.apk
34.17KB
2025-07-03 01:15:10
php81-sodium-8.1.33-r0.apk
26.23KB
2025-07-03 01:15:10
php81-sqlite3-8.1.33-r0.apk
19.14KB
2025-07-03 01:15:10
php81-sysvmsg-8.1.33-r0.apk
7.12KB
2025-07-03 01:15:10
php81-sysvsem-8.1.33-r0.apk
5.49KB
2025-07-03 01:15:10
php81-sysvshm-8.1.33-r0.apk
6.36KB
2025-07-03 01:15:10
php81-tideways_xhprof-5.0.4-r1.apk
12.18KB
2024-10-25 22:08:08
php81-tidy-8.1.33-r0.apk
17.77KB
2025-07-03 01:15:10
php81-tokenizer-8.1.33-r0.apk
11.50KB
2025-07-03 01:15:10
php81-xml-8.1.33-r0.apk
17.60KB
2025-07-03 01:15:10
php81-xmlreader-8.1.33-r0.apk
12.44KB
2025-07-03 01:15:10
php81-xmlwriter-8.1.33-r0.apk
11.29KB
2025-07-03 01:15:10
php81-xsl-8.1.33-r0.apk
12.34KB
2025-07-03 01:15:10
php81-zip-8.1.33-r0.apk
24.50KB
2025-07-03 01:15:10
php82-pdlib-1.1.0-r1.apk
471.43KB
2024-10-25 22:08:08
php82-pecl-apfd-1.0.3-r0.apk
4.28KB
2024-10-25 22:08:08
php82-pecl-excimer-1.2.5-r0.apk
18.52KB
2025-05-20 18:41:57
php82-pecl-immutable_cache-6.1.0-r0.apk
35.96KB
2024-10-25 22:08:08
php82-pecl-jsmin-3.0.0-r0.apk
9.11KB
2024-10-25 22:08:08
php82-pecl-oauth-2.0.9-r0.apk
33.26KB
2024-10-25 22:08:08
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
26.53KB
2024-10-25 22:08:08
php82-pecl-teds-1.3.0-r0.apk
101.47KB
2024-10-25 22:08:08
php82-pecl-vld-0.19.1-r0.apk
13.87KB
2025-07-24 16:42:50
php82-pecl-zephir_parser-1.7.0-r0.apk
62.77KB
2024-11-24 19:25:14
php82-snappy-0.2.3-r0.apk
4.85KB
2025-04-11 21:19:11
php83-pecl-apfd-1.0.3-r0.apk
4.28KB
2024-10-25 22:08:08
php83-pecl-eio-3.1.4-r0.apk
25.98KB
2025-07-24 16:42:50
php83-pecl-ev-1.2.1-r0.apk
36.01KB
2025-07-24 16:42:50
php83-pecl-excimer-1.2.5-r0.apk
18.52KB
2025-05-20 18:41:57
php83-pecl-jsmin-3.0.0-r0.apk
9.10KB
2024-10-25 22:08:08
php83-pecl-oauth-2.0.9-r0.apk
33.32KB
2024-10-25 22:08:08
php83-pecl-phpy-1.0.11-r1.apk
36.76KB
2025-05-13 00:20:39
php83-pecl-uv-0.3.0-r0.apk
48.33KB
2024-10-25 22:08:08
php83-pecl-vld-0.19.1-r0.apk
13.87KB
2025-07-24 16:42:50
php83-pecl-zmq-1.1.4-r0.apk
28.83KB
2024-10-25 22:08:08
php84-pecl-csv-0.4.3-r0.apk
9.26KB
2025-02-25 17:45:33
php84-pecl-ev-1.2.1-r0.apk
36.06KB
2025-07-24 16:42:50
php84-pecl-mcrypt-1.0.9-r0.apk
14.28KB
2025-08-08 19:10:48
php84-pecl-oauth-2.0.9-r0.apk
33.38KB
2024-10-25 22:08:09
php84-pecl-phpy-1.0.11-r1.apk
36.77KB
2025-05-13 00:20:39
php84-pecl-solr-2.8.1-r0.apk
82.88KB
2025-04-23 07:15:31
php84-pecl-uv-0.3.0-r0.apk
48.32KB
2024-10-28 14:47:06
php84-pecl-vld-0.19.1-r0.apk
14.17KB
2025-07-24 16:42:50
php84-snappy-0.2.3-r0.apk
4.84KB
2025-04-11 21:19:11
php85-8.5.0_rc1-r0.apk
2.56MB
2025-09-24 16:21:16
php85-apache2-8.5.0_rc1-r0.apk
2.53MB
2025-09-24 16:21:16
php85-bcmath-8.5.0_rc1-r0.apk
25.54KB
2025-09-24 16:21:16
php85-bz2-8.5.0_rc1-r0.apk
9.43KB
2025-09-24 16:21:16
php85-calendar-8.5.0_rc1-r0.apk
12.92KB
2025-09-24 16:21:16
php85-cgi-8.5.0_rc1-r0.apk
2.54MB
2025-09-24 16:21:16
php85-common-8.5.0_rc1-r0.apk
24.19KB
2025-09-24 16:21:16
php85-ctype-8.5.0_rc1-r0.apk
4.53KB
2025-09-24 16:21:16
php85-curl-8.5.0_rc1-r0.apk
42.83KB
2025-09-24 16:21:16
php85-dba-8.5.0_rc1-r0.apk
22.94KB
2025-09-24 16:21:16
php85-dbg-8.5.0_rc1-r0.apk
54.04MB
2025-09-24 16:21:16
php85-dev-8.5.0_rc1-r0.apk
1.26MB
2025-09-24 16:21:16
php85-doc-8.5.0_rc1-r0.apk
63.62KB
2025-09-24 16:21:16
php85-dom-8.5.0_rc1-r0.apk
136.27KB
2025-09-24 16:21:16
php85-embed-8.5.0_rc1-r0.apk
2.53MB
2025-09-24 16:21:16
php85-enchant-8.5.0_rc1-r0.apk
9.06KB
2025-09-24 16:21:16
php85-exif-8.5.0_rc1-r0.apk
30.96KB
2025-09-24 16:21:16
php85-ffi-8.5.0_rc1-r0.apk
66.41KB
2025-09-24 16:21:16
php85-fileinfo-8.5.0_rc1-r0.apk
497.25KB
2025-09-24 16:21:16
php85-fpm-8.5.0_rc1-r0.apk
2.61MB
2025-09-24 16:21:16
php85-ftp-8.5.0_rc1-r0.apk
23.41KB
2025-09-24 16:21:16
php85-gd-8.5.0_rc1-r0.apk
121.91KB
2025-09-24 16:21:16
php85-gettext-8.5.0_rc1-r0.apk
6.52KB
2025-09-24 16:21:16
php85-gmp-8.5.0_rc1-r0.apk
19.02KB
2025-09-24 16:21:16
php85-iconv-8.5.0_rc1-r0.apk
16.78KB
2025-09-24 16:21:16
php85-intl-8.5.0_rc1-r0.apk
166.84KB
2025-09-24 16:21:16
php85-ldap-8.5.0_rc1-r0.apk
31.36KB
2025-09-24 16:21:16
php85-litespeed-8.5.0_rc1-r0.apk
2.55MB
2025-09-24 16:21:16
php85-mbstring-8.5.0_rc1-r0.apk
626.11KB
2025-09-24 16:21:16
php85-mysqli-8.5.0_rc1-r0.apk
43.06KB
2025-09-24 16:21:16
php85-mysqlnd-8.5.0_rc1-r0.apk
72.35KB
2025-09-24 16:21:16
php85-odbc-8.5.0_rc1-r0.apk
24.90KB
2025-09-24 16:21:16
php85-openssl-8.5.0_rc1-r0.apk
77.17KB
2025-09-24 16:21:16
php85-pcntl-8.5.0_rc1-r0.apk
17.23KB
2025-09-24 16:21:16
php85-pdo-8.5.0_rc1-r0.apk
42.77KB
2025-09-24 16:21:16
php85-pdo_dblib-8.5.0_rc1-r0.apk
12.26KB
2025-09-24 16:21:16
php85-pdo_mysql-8.5.0_rc1-r0.apk
14.58KB
2025-09-24 16:21:16
php85-pdo_odbc-8.5.0_rc1-r0.apk
13.75KB
2025-09-24 16:21:16
php85-pdo_pgsql-8.5.0_rc1-r0.apk
23.13KB
2025-09-24 16:21:16
php85-pdo_sqlite-8.5.0_rc1-r0.apk
17.40KB
2025-09-24 16:21:16
php85-pear-8.5.0_rc1-r0.apk
337.87KB
2025-09-24 16:21:16
php85-pecl-apcu-5.1.27-r1.apk
52.29KB
2025-09-24 16:21:16
php85-pecl-ast-1.1.3-r1.apk
20.53KB
2025-09-24 16:21:16
php85-pecl-brotli-0.18.2-r1.apk
13.19KB
2025-09-24 16:21:16
php85-pecl-ev-1.2.1-r1.apk
35.92KB
2025-09-24 16:21:16
php85-pecl-igbinary-3.2.16-r3.apk
26.68KB
2025-09-25 05:10:18
php85-pecl-imagick-3.8.0-r0.apk
100.62KB
2025-09-25 02:47:15
php85-pecl-imagick-dev-3.8.0-r0.apk
2.30KB
2025-09-25 02:47:15
php85-pecl-protobuf-4.32.1-r0.apk
131.16KB
2025-09-24 16:21:16
php85-pecl-uploadprogress-2.0.2-r1.apk
6.53KB
2025-09-24 16:21:16
php85-pecl-uploadprogress-doc-2.0.2-r1.apk
9.58KB
2025-09-24 16:21:16
php85-pecl-vld-0.19.1-r1.apk
14.12KB
2025-09-24 16:21:16
php85-pecl-xdebug-3.4.5-r2.apk
132.98KB
2025-09-24 16:21:16
php85-pecl-xhprof-2.3.10-r1.apk
11.35KB
2025-09-24 16:21:16
php85-pecl-xhprof-assets-2.3.10-r1.apk
807.13KB
2025-09-24 16:21:16
php85-pecl-yaml-2.2.5-r1.apk
16.85KB
2025-09-24 16:21:16
php85-pecl-zstd-0.15.2-r1.apk
15.22KB
2025-09-24 16:21:16
php85-pgsql-8.5.0_rc1-r0.apk
53.07KB
2025-09-24 16:21:16
php85-phar-8.5.0_rc1-r0.apk
118.12KB
2025-09-24 16:21:16
php85-phpdbg-8.5.0_rc1-r0.apk
2.60MB
2025-09-24 16:21:16
php85-posix-8.5.0_rc1-r0.apk
11.57KB
2025-09-24 16:21:16
php85-session-8.5.0_rc1-r0.apk
38.65KB
2025-09-24 16:21:16
php85-shmop-8.5.0_rc1-r0.apk
6.12KB
2025-09-24 16:21:16
php85-simplexml-8.5.0_rc1-r0.apk
20.26KB
2025-09-24 16:21:16
php85-snmp-8.5.0_rc1-r0.apk
20.10KB
2025-09-24 16:21:16
php85-soap-8.5.0_rc1-r0.apk
133.02KB
2025-09-24 16:21:16
php85-sockets-8.5.0_rc1-r0.apk
39.52KB
2025-09-24 16:21:16
php85-sodium-8.5.0_rc1-r0.apk
28.51KB
2025-09-24 16:21:16
php85-sqlite3-8.5.0_rc1-r0.apk
22.52KB
2025-09-24 16:21:16
php85-sysvmsg-8.5.0_rc1-r0.apk
7.43KB
2025-09-24 16:21:16
php85-sysvsem-8.5.0_rc1-r0.apk
5.47KB
2025-09-24 16:21:16
php85-sysvshm-8.5.0_rc1-r0.apk
6.36KB
2025-09-24 16:21:16
php85-tidy-8.5.0_rc1-r0.apk
18.41KB
2025-09-24 16:21:16
php85-tokenizer-8.5.0_rc1-r0.apk
11.62KB
2025-09-24 16:21:16
php85-xml-8.5.0_rc1-r0.apk
23.18KB
2025-09-24 16:21:16
php85-xmlreader-8.5.0_rc1-r0.apk
14.65KB
2025-09-24 16:21:16
php85-xmlwriter-8.5.0_rc1-r0.apk
12.52KB
2025-09-24 16:21:16
php85-xsl-8.5.0_rc1-r0.apk
13.61KB
2025-09-24 16:21:16
php85-zip-8.5.0_rc1-r0.apk
28.82KB
2025-09-24 16:21:16
phpactor-2025.04.17.0-r0.apk
3.55MB
2025-05-30 18:00:42
pick-4.0.0-r0.apk
9.22KB
2024-10-25 22:08:09
pick-doc-4.0.0-r0.apk
3.32KB
2024-10-25 22:08:09
pict-rs-0.5.19-r0.apk
5.95MB
2025-05-19 12:16:53
pict-rs-openrc-0.5.19-r0.apk
1.89KB
2025-05-19 12:16:53
pidif-0.1-r1.apk
140.65KB
2024-10-25 22:08:09
piglit-0_git20241106-r1.apk
87.58MB
2025-05-13 00:20:41
pigpio-79-r4.apk
200.48KB
2024-10-25 22:08:11
pigpio-dev-79-r4.apk
90.80KB
2024-10-25 22:08:11
pigpio-doc-79-r4.apk
115.35KB
2024-10-25 22:08:11
pigpio-openrc-79-r4.apk
1.62KB
2024-10-25 22:08:11
pihole-6.2.3-r0.apk
5.41MB
2025-06-20 21:05:20
pihole-bash-completion-6.2.3-r0.apk
2.18KB
2025-06-20 21:05:20
pihole-doc-6.2.3-r0.apk
3.75KB
2025-06-20 21:05:20
pihole-openrc-6.2.3-r0.apk
1.84KB
2025-06-20 21:05:20
pimd-3.0_git20220201-r0.apk
76.26KB
2024-10-25 22:08:11
pimd-dense-2.1.0-r0.apk
46.12KB
2024-10-25 22:08:11
pimd-dense-doc-2.1.0-r0.apk
19.68KB
2024-10-25 22:08:11
pimd-dense-openrc-2.1.0-r0.apk
1.85KB
2024-10-25 22:08:11
pimd-doc-3.0_git20220201-r0.apk
34.76KB
2024-10-25 22:08:11
pimd-openrc-3.0_git20220201-r0.apk
1.62KB
2024-10-25 22:08:11
pinentry-bemenu-0.14.0-r1.apk
7.82KB
2025-07-06 03:15:13
piper-phonemize-2023.11.14.4-r9.apk
9.00MB
2025-07-15 13:28:49
piper-phonemize-dev-2023.11.14.4-r9.apk
394.23KB
2025-07-15 13:28:49
piper-phonemize-libs-2023.11.14.4-r9.apk
67.74KB
2025-07-15 13:28:49
piper-tts-2023.11.14.2-r14.apk
121.10KB
2025-07-15 13:28:49
piper-tts-dev-2023.11.14.2-r14.apk
141.02KB
2025-07-15 13:28:49
piping-server-0.18.0-r0.apk
1.18MB
2024-10-25 22:08:11
piping-server-openrc-0.18.0-r0.apk
1.79KB
2024-10-25 22:08:11
pithos-1.6.1-r0.apk
104.46KB
2024-10-25 22:08:11
pithos-doc-1.6.1-r0.apk
2.09KB
2024-10-25 22:08:11
pithos-pyc-1.6.1-r0.apk
154.28KB
2024-10-25 22:08:11
pitivi-2023.03-r2.apk
2.68MB
2024-12-23 03:13:56
pitivi-lang-2023.03-r2.apk
678.27KB
2024-12-23 03:13:56
pitivi-pyc-2023.03-r2.apk
700.16KB
2024-12-23 03:13:56
pixi-0.24.2-r0.apk
7.77MB
2024-10-25 22:08:11
pixi-bash-completion-0.24.2-r0.apk
7.16KB
2024-10-25 22:08:11
pixi-doc-0.24.2-r0.apk
6.87KB
2024-10-25 22:08:11
pixi-fish-completion-0.24.2-r0.apk
10.08KB
2024-10-25 22:08:11
pixi-zsh-completion-0.24.2-r0.apk
10.43KB
2024-10-25 22:08:11
pixiewps-1.4.2-r2.apk
47.29KB
2025-05-13 00:20:41
pixiewps-doc-1.4.2-r2.apk
3.40KB
2025-05-13 00:20:41
plakar-1.0.4-r0.apk
18.49MB
2025-09-19 17:53:45
plakar-doc-1.0.4-r0.apk
2.08KB
2025-09-19 17:53:45
planarity-4.0.0.0-r0.apk
21.26KB
2025-03-16 12:06:37
planarity-dev-4.0.0.0-r0.apk
23.00KB
2025-03-16 12:06:37
planarity-doc-4.0.0.0-r0.apk
12.56KB
2025-03-16 12:06:37
planarity-libs-4.0.0.0-r0.apk
57.21KB
2025-03-16 12:06:37
planner-0.14.92-r1.apk
313.18KB
2024-12-08 23:36:41
planner-doc-0.14.92-r1.apk
2.16KB
2024-12-08 23:36:41
planner-lang-0.14.92-r1.apk
824.58KB
2024-12-08 23:36:41
platformio-core-6.1.7-r3.apk
262.50KB
2024-10-25 22:08:11
platformio-core-pyc-6.1.7-r3.apk
551.78KB
2024-10-25 22:08:11
please-0.5.6-r0.apk
923.05KB
2025-08-29 11:10:11
please-doc-0.5.6-r0.apk
16.06KB
2025-08-29 11:10:11
plfit-1.0.1-r0.apk
34.91KB
2025-01-04 05:47:43
plfit-dev-1.0.1-r0.apk
6.48KB
2025-01-04 05:47:43
plfit-libs-1.0.1-r0.apk
25.73KB
2025-01-04 05:47:43
plfit-static-1.0.1-r0.apk
29.32KB
2025-01-04 05:47:43
plib-1.8.5-r3.apk
836.20KB
2024-10-25 22:08:11
plots-0.7.0-r1.apk
515.72KB
2024-11-06 11:41:46
plplot-5.15.0-r2.apk
31.15KB
2024-10-25 22:08:11
plplot-dev-5.15.0-r2.apk
59.00KB
2024-10-25 22:08:11
plplot-doc-5.15.0-r2.apk
310.58KB
2024-10-25 22:08:11
plplot-libs-5.15.0-r2.apk
176.04KB
2024-10-25 22:08:11
pmccabe-2.8-r1.apk
23.45KB
2024-10-25 22:08:11
pmccabe-doc-2.8-r1.apk
7.15KB
2024-10-25 22:08:11
pneink-theme-1.3-r0.apk
9.84KB
2025-07-30 07:56:00
pneink-theme-doc-1.3-r0.apk
1.65KB
2025-07-30 07:56:00
pnmixer-0.7.2-r3.apk
137.66KB
2024-10-25 22:08:11
pnmixer-doc-0.7.2-r3.apk
2.28KB
2024-10-25 22:08:11
pnmixer-lang-0.7.2-r3.apk
24.78KB
2024-10-25 22:08:11
pokoy-0.2.5-r0.apk
8.25KB
2024-10-25 22:08:11
pokoy-doc-0.2.5-r0.apk
3.00KB
2024-10-25 22:08:11
policycoreutils-3.6-r1.apk
50.04KB
2024-10-25 22:08:11
policycoreutils-bash-completion-3.6-r1.apk
2.41KB
2024-10-25 22:08:11
policycoreutils-doc-3.6-r1.apk
22.32KB
2024-10-25 22:08:11
policycoreutils-lang-3.6-r1.apk
105.39KB
2024-10-25 22:08:11
polyglot-2.0.4-r1.apk
59.51KB
2024-10-25 22:08:11
polyglot-doc-2.0.4-r1.apk
47.57KB
2024-10-25 22:08:11
pomo-0.8.1-r26.apk
1.63MB
2025-09-09 16:17:34
pomo-doc-0.8.1-r26.apk
2.73KB
2025-09-09 16:17:34
pongoos-loader-0_git20210704-r1.apk
2.37KB
2024-10-25 22:08:11
pop-cursor-theme-3.5.1-r0.apk
12.82MB
2025-03-25 09:55:05
pop-icon-theme-3.5.1-r0.apk
1.27MB
2025-03-25 09:55:05
pop-launcher-1.2.4-r0.apk
2.09MB
2025-09-23 11:49:26
popeye-0.22.1-r7.apk
27.34MB
2025-09-09 16:17:34
porla-0.41.0-r2.apk
3.05MB
2025-02-24 16:53:03
porla-doc-0.41.0-r2.apk
2.20KB
2025-02-24 16:53:03
porla-openrc-0.41.0-r2.apk
2.69KB
2025-02-24 16:53:03
portsmf-239-r1.apk
47.04KB
2024-10-25 22:08:12
portsmf-dev-239-r1.apk
20.28KB
2024-10-25 22:08:12
postgresql-pg_later-0.0.14-r1.apk
561.22KB
2024-10-25 22:08:12
postgresql-pg_variables-1.2.5_git20230922-r0.apk
20.23KB
2024-10-25 22:08:12
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
53.94KB
2024-10-25 22:08:12
postgresql-pgmq-1.1.1-r1.apk
236.31KB
2024-10-25 22:08:12
postgresql16-wal2json-2.6-r0.apk
68.05KB
2024-10-25 22:08:12
pounce-3.1-r4.apk
26.07KB
2025-09-13 04:00:11
pounce-doc-3.1-r4.apk
8.54KB
2025-09-13 04:00:11
pounce-openrc-3.1-r4.apk
2.75KB
2025-09-13 04:00:11
powder-toy-97.0.352-r1.apk
756.50KB
2024-10-25 22:08:12
powerstat-0.04.01-r0.apk
19.09KB
2024-10-25 22:08:12
powerstat-bash-completion-0.04.01-r0.apk
2.27KB
2024-10-25 22:08:12
powerstat-doc-0.04.01-r0.apk
4.23KB
2024-10-25 22:08:12
pptpclient-1.10.0-r6.apk
30.89KB
2025-07-01 21:10:43
pptpclient-doc-1.10.0-r6.apk
7.21KB
2025-07-01 21:10:43
pqiv-2.12-r1.apk
59.24KB
2024-10-25 22:08:12
pqiv-doc-2.12-r1.apk
12.03KB
2024-10-25 22:08:12
predict-2.3.1-r0.apk
84.83KB
2024-11-24 07:46:51
predict-doc-2.3.1-r0.apk
16.08KB
2024-11-24 07:46:51
primecount-7.19-r0.apk
26.53KB
2025-08-08 19:10:50
primecount-dev-7.19-r0.apk
1.86MB
2025-08-08 19:10:50
primecount-doc-7.19-r0.apk
3.88KB
2025-08-08 19:10:50
primecount-libs-7.19-r0.apk
118.96KB
2025-08-08 19:10:50
primesieve-12.9-r0.apk
40.65KB
2025-08-08 19:10:50
primesieve-dev-12.9-r0.apk
1.30MB
2025-08-08 19:10:50
primesieve-doc-12.9-r0.apk
4.06KB
2025-08-08 19:10:50
primesieve-libs-12.9-r0.apk
111.44KB
2025-08-08 19:10:50
prjtrellis-1.4-r2.apk
1.12MB
2024-10-25 22:08:12
prjtrellis-db-0_git20230929-r0.apk
3.28KB
2024-10-25 22:08:12
prjtrellis-db-ecp5-0_git20230929-r0.apk
2.13MB
2024-10-25 22:08:12
prjtrellis-db-machxo-0_git20230929-r0.apk
39.18KB
2024-10-25 22:08:12
prjtrellis-db-machxo2-0_git20230929-r0.apk
0.99MB
2024-10-25 22:08:12
prjtrellis-db-machxo3-0_git20230929-r0.apk
1.07MB
2024-10-25 22:08:12
prjtrellis-db-machxo3d-0_git20230929-r0.apk
747.72KB
2024-10-25 22:08:13
projectm-3.1.12-r2.apk
398.78KB
2024-10-25 22:08:13
projectm-dev-3.1.12-r2.apk
631.88KB
2024-10-25 22:08:13
projectm-presets-3.1.12-r2.apk
4.35MB
2024-10-25 22:08:13
projectm-pulseaudio-3.1.12-r2.apk
365.95KB
2024-10-25 22:08:13
projectm-pulseaudio-doc-3.1.12-r2.apk
2.00KB
2024-10-25 22:08:13
projectm-sdl-3.1.12-r2.apk
283.15KB
2024-10-25 22:08:13
projectsandcastle-loader-0_git20200307-r1.apk
4.97KB
2024-10-25 22:08:13
prometheus-ipmi-exporter-1.8.0-r5.apk
4.22MB
2025-05-12 10:06:36
prometheus-ipmi-exporter-doc-1.8.0-r5.apk
6.61KB
2025-05-12 10:06:36
prometheus-ipmi-exporter-openrc-1.8.0-r5.apk
1.95KB
2025-05-12 10:06:36
prometheus-opnsense-exporter-0.0.11-r0.apk
4.76MB
2025-09-19 17:53:45
prometheus-opnsense-exporter-openrc-0.0.11-r0.apk
2.10KB
2025-09-19 17:53:45
prometheus-podman-exporter-1.18.1-r0.apk
14.59MB
2025-09-16 11:11:30
prometheus-rethinkdb-exporter-1.0.1-r31.apk
4.17MB
2025-09-09 16:17:34
prometheus-rethinkdb-exporter-openrc-1.0.1-r31.apk
1.67KB
2025-09-09 16:17:34
prometheus-smartctl-exporter-0.14.0-r3.apk
4.63MB
2025-09-09 16:17:34
prometheus-smartctl-exporter-openrc-0.14.0-r3.apk
1.89KB
2025-09-09 16:17:34
prometheus-smtp2go-exporter-0.1.1-r2.apk
3.43MB
2025-09-09 16:17:34
prometheus-smtp2go-exporter-openrc-0.1.1-r2.apk
1.97KB
2025-09-09 16:17:34
prometheus-unbound-exporter-0.4.6-r5.apk
3.62MB
2025-05-12 10:06:37
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
1.96KB
2025-05-12 10:06:37
proot-5.4.0-r1.apk
62.49KB
2024-10-25 22:08:13
proot-doc-5.4.0-r1.apk
10.29KB
2024-10-25 22:08:13
proot-static-5.4.0-r1.apk
95.09KB
2024-10-25 22:08:13
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2.81KB
2024-10-25 22:08:13
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
1.81KB
2024-10-25 22:08:13
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2.64KB
2024-10-25 22:08:13
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
1.76KB
2024-10-25 22:08:13
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
3.11KB
2024-10-25 22:08:13
prosody-mod-broadcast-0.11_hg20201208-r0.apk
1.85KB
2024-10-25 22:08:13
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 22:08:13
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
7.21KB
2024-10-25 22:08:13
prosody-mod-conversejs-0.11_hg20201208-r0.apk
3.27KB
2024-10-25 22:08:13
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2.83KB
2024-10-25 22:08:13
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2.89KB
2024-10-25 22:08:13
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
1.95KB
2024-10-25 22:08:13
prosody-mod-log_auth-0.11_hg20201208-r0.apk
1.77KB
2024-10-25 22:08:13
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2.18KB
2024-10-25 22:08:13
prosody-mod-mam-0.11_hg20201208-r0.apk
5.88KB
2024-10-25 22:08:13
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
5.55KB
2024-10-25 22:08:13
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
6.86KB
2024-10-25 22:08:13
prosody-mod-pastebin-0.11_hg20201208-r0.apk
3.72KB
2024-10-25 22:08:13
prosody-mod-register_json-0.11_hg20201208-r0.apk
103.50KB
2024-10-25 22:08:13
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2.71KB
2024-10-25 22:08:13
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2.00KB
2024-10-25 22:08:13
prosody-mod-require_otr-0.11_hg20201208-r0.apk
1.71KB
2024-10-25 22:08:13
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2.01KB
2024-10-25 22:08:13
prosody-mod-saslname-0.11_hg20201208-r0.apk
1.61KB
2024-10-25 22:08:13
prosody-mod-server_status-0.11_hg20201208-r0.apk
2.77KB
2024-10-25 22:08:13
prosody-mod-smacks-0.11_hg20201208-r0.apk
8.58KB
2024-10-25 22:08:13
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2.04KB
2024-10-25 22:08:13
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2.02KB
2024-10-25 22:08:13
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2.66KB
2024-10-25 22:08:13
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2.63KB
2024-10-25 22:08:13
prosody-modules-0.11_hg20201208-r0.apk
1.45KB
2024-10-25 22:08:13
protoc-gen-go-1.36.6-r3.apk
2.24MB
2025-09-09 16:17:34
protoconf-0.1.7-r16.apk
7.45MB
2025-09-09 16:17:34
prowlarr-2.0.5.5160-r0.apk
18.25MB
2025-08-24 16:43:21
prowlarr-openrc-2.0.5.5160-r0.apk
2.03KB
2025-08-24 16:43:21
psftools-1.1.2-r0.apk
189.65KB
2024-10-25 22:08:14
psftools-dev-1.1.2-r0.apk
41.05KB
2024-10-25 22:08:14
psftools-doc-1.1.2-r0.apk
59.64KB
2024-10-25 22:08:14
psi-notify-1.3.1-r0.apk
10.79KB
2024-10-25 22:08:14
psi-plus-1.5.2069-r0.apk
8.29MB
2025-07-24 16:42:50
psi-plus-plugins-1.5.2069-r0.apk
1.55MB
2025-07-24 16:42:50
pspp-2.0.1-r0.apk
9.12MB
2024-10-25 22:08:14
pspp-dbg-2.0.1-r0.apk
4.36MB
2024-10-25 22:08:14
pspp-doc-2.0.1-r0.apk
9.01KB
2024-10-25 22:08:14
psst-0_git20240526-r1.apk
6.95MB
2024-10-25 22:08:14
ptouch-print-1.7-r0.apk
24.51KB
2025-09-13 16:05:44
ptouch-print-doc-1.7-r0.apk
3.13KB
2025-09-13 16:05:44
ptpd-2.3.1-r1.apk
174.86KB
2024-10-25 22:08:14
ptpd-doc-2.3.1-r1.apk
20.28KB
2024-10-25 22:08:14
ptpd-openrc-2.3.1-r1.apk
2.39KB
2024-10-25 22:08:14
ptylie-0.2-r2.apk
10.03KB
2025-05-13 00:20:41
ptylie-doc-0.2-r2.apk
3.16KB
2025-05-13 00:20:41
pug-0.6.2-r2.apk
4.10MB
2025-09-09 16:17:34
pully-1.0.0-r0.apk
2.53KB
2024-10-25 22:08:14
pully-openrc-1.0.0-r0.apk
1.72KB
2024-10-25 22:08:14
pulsar-client-cpp-3.7.1-r0.apk
1.14MB
2025-06-12 15:11:56
pulsar-client-cpp-dev-3.7.1-r0.apk
64.46KB
2025-06-12 15:11:56
pulseview-0.4.2-r8.apk
808.12KB
2024-10-25 22:08:14
pulseview-doc-0.4.2-r8.apk
3.66KB
2024-10-25 22:08:14
purple-facebook-0.9.6-r0.apk
64.68KB
2024-10-25 22:08:14
purple-hangouts-0_git20200422-r0.apk
187.74KB
2024-10-25 22:08:14
pw-volume-0.5.0-r1.apk
281.60KB
2024-10-25 22:08:14
pwauth-2.3.11-r2.apk
4.04KB
2024-10-25 22:08:14
pwauth-doc-2.3.11-r2.apk
6.80KB
2024-10-25 22:08:14
pxalarm-3.0.0-r0.apk
2.88KB
2024-10-25 22:08:14
pxmenu-1.0.0-r1.apk
2.88KB
2024-10-25 22:08:14
py3-actdiag-3.0.0-r5.apk
17.27KB
2024-10-25 22:08:14
py3-actdiag-pyc-3.0.0-r5.apk
21.49KB
2024-10-25 22:08:14
py3-aesedb-0.1.6-r3.apk
35.94KB
2025-05-29 14:58:13
py3-aesedb-pyc-0.1.6-r3.apk
74.68KB
2025-05-29 14:58:13
py3-agithub-2.2.2-r7.apk
18.84KB
2025-03-19 13:44:17
py3-agithub-pyc-2.2.2-r7.apk
21.28KB
2025-03-19 13:44:17
py3-aiodocker-0.21.0-r1.apk
29.32KB
2024-10-25 22:08:14
py3-aiodocker-pyc-0.21.0-r1.apk
60.39KB
2024-10-25 22:08:14
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
445.92KB
2024-10-25 22:08:14
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
51.19KB
2024-10-25 22:08:14
py3-aiohttp-jinja2-1.6-r2.apk
12.39KB
2024-10-25 22:08:14
py3-aiohttp-jinja2-pyc-1.6-r2.apk
9.23KB
2024-10-25 22:08:14
py3-aiohttp-remotes-1.3.0-r0.apk
10.02KB
2024-11-04 14:28:06
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
18.86KB
2024-11-04 14:28:06
py3-aiohttp-session-2.12.1-r0.apk
10.43KB
2024-10-25 22:08:14
py3-aiohttp-session-pyc-2.12.1-r0.apk
14.79KB
2024-10-25 22:08:14
py3-aioopenssl-0.6.0-r4.apk
20.82KB
2024-10-25 22:08:14
py3-aioopenssl-pyc-0.6.0-r4.apk
19.13KB
2024-10-25 22:08:14
py3-aiosasl-0.5.0-r4.apk
29.58KB
2024-10-25 22:08:14
py3-aiosasl-doc-0.5.0-r4.apk
16.45KB
2024-10-25 22:08:14
py3-aiosasl-pyc-0.5.0-r4.apk
23.93KB
2024-10-25 22:08:14
py3-aiosmb-0.4.13-r0.apk
610.99KB
2025-07-29 00:21:58
py3-aiosmb-pyc-0.4.13-r0.apk
1.11MB
2025-07-29 00:21:58
py3-aiowinreg-0.0.12-r1.apk
22.11KB
2025-05-29 14:58:13
py3-aiowinreg-pyc-0.0.12-r1.apk
44.59KB
2025-05-29 14:58:13
py3-aioxmpp-0.13.3-r3.apk
387.68KB
2024-10-25 22:08:14
py3-aioxmpp-doc-0.13.3-r3.apk
18.34KB
2024-10-25 22:08:14
py3-aioxmpp-pyc-0.13.3-r3.apk
673.12KB
2024-10-25 22:08:14
py3-allfiles-1.0-r8.apk
3.57KB
2024-10-25 22:08:14
py3-allfiles-pyc-1.0-r8.apk
3.26KB
2024-10-25 22:08:14
py3-altgraph-0.17.4-r1.apk
20.73KB
2024-10-25 22:08:14
py3-altgraph-pyc-0.17.4-r1.apk
29.13KB
2024-10-25 22:08:14
py3-ansi2html-1.9.2-r0.apk
17.64KB
2024-10-25 22:08:14
py3-ansi2html-pyc-1.9.2-r0.apk
21.88KB
2024-10-25 22:08:14
py3-ansible-pylibssh-1.2.2-r0.apk
226.38KB
2025-07-24 16:42:50
py3-anyascii-0.3.2-r1.apk
274.72KB
2024-10-25 22:08:14
py3-anyascii-pyc-0.3.2-r1.apk
3.33KB
2024-10-25 22:08:14
py3-apicula-0.11.1-r1.apk
8.48MB
2024-10-25 22:08:15
py3-apicula-pyc-0.11.1-r1.apk
179.48KB
2024-10-25 22:08:15
py3-apio-0.9.5-r0.apk
72.36KB
2024-10-25 22:08:15
py3-apio-pyc-0.9.5-r0.apk
77.20KB
2024-10-25 22:08:15
py3-apsw-3.50.4.0-r0.apk
858.78KB
2025-08-14 10:58:54
py3-apsw-pyc-3.50.4.0-r0.apk
555.29KB
2025-08-14 10:58:54
py3-apt-2.9.9-r0.apk
164.42KB
2025-07-15 13:28:49
py3-apt-lang-2.9.9-r0.apk
79.51KB
2025-07-15 13:28:49
py3-apt-pyc-2.9.9-r0.apk
119.02KB
2025-07-15 13:28:49
py3-arcus-5.3.0-r5.apk
69.67KB
2025-06-12 15:11:56
py3-asif-0.3.2-r3.apk
13.35KB
2024-10-25 22:08:15
py3-asif-pyc-0.3.2-r3.apk
25.88KB
2024-10-25 22:08:15
py3-ask-0.0.8-r8.apk
5.00KB
2024-10-25 22:08:15
py3-ask-pyc-0.0.8-r8.apk
4.47KB
2024-10-25 22:08:15
py3-astral-3.2-r3.apk
37.03KB
2024-10-25 22:08:15
py3-astral-pyc-3.2-r3.apk
58.92KB
2024-10-25 22:08:15
py3-asyauth-0.0.22-r0.apk
78.22KB
2025-07-29 00:22:33
py3-asyauth-pyc-0.0.22-r0.apk
171.95KB
2025-07-29 00:22:33
py3-asysocks-0.2.17-r0.apk
93.99KB
2025-07-29 00:23:22
py3-asysocks-pyc-0.2.17-r0.apk
259.28KB
2025-07-29 00:23:22
py3-avro-1.11.3-r1.apk
97.67KB
2024-10-25 22:08:15
py3-avro-pyc-1.11.3-r1.apk
191.36KB
2024-10-25 22:08:15
py3-b2sdk-2.8.1-r0.apk
214.93KB
2025-05-11 14:57:51
py3-b2sdk-pyc-2.8.1-r0.apk
402.64KB
2025-05-11 14:57:51
py3-banal-1.0.6-r4.apk
6.88KB
2024-10-25 22:08:15
py3-banal-pyc-1.0.6-r4.apk
7.21KB
2024-10-25 22:08:15
py3-bandwidth-sdk-3.1.0-r8.apk
46.00KB
2024-10-25 22:08:15
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
69.19KB
2024-10-25 22:08:15
py3-barcodenumber-0.2.1-r10.apk
16.33KB
2024-10-25 22:08:15
py3-barcodenumber-pyc-0.2.1-r10.apk
4.26KB
2024-10-25 22:08:15
py3-base58-2.1.1-r2.apk
11.09KB
2024-10-25 22:08:15
py3-beartype-0.21.0-r0.apk
926.42KB
2025-05-25 16:46:17
py3-beartype-pyc-0.21.0-r0.apk
672.65KB
2025-05-25 16:46:17
py3-bencode-4.0.0-r1.apk
17.09KB
2024-10-25 22:08:15
py3-bencode-pyc-4.0.0-r1.apk
10.46KB
2024-10-25 22:08:15
py3-bibtexparser-1.4.3-r0.apk
40.23KB
2025-01-25 09:04:15
py3-bibtexparser-pyc-1.4.3-r0.apk
48.78KB
2025-01-25 09:04:15
py3-bidict-0.23.1-r1.apk
27.72KB
2024-10-25 22:08:15
py3-bidict-pyc-0.23.1-r1.apk
28.76KB
2024-10-25 22:08:15
py3-binson-python-0.0.1-r0.apk
8.72KB
2025-08-26 21:04:35
py3-binson-python-pyc-0.0.1-r0.apk
13.61KB
2025-08-26 21:04:35
py3-bite-parser-0.2.5-r0.apk
13.64KB
2024-10-28 23:51:26
py3-bite-parser-pyc-0.2.5-r0.apk
23.49KB
2024-10-28 23:51:26
py3-bitstruct-8.19.0-r1.apk
32.75KB
2024-10-25 22:08:15
py3-bitstruct-pyc-8.19.0-r1.apk
12.78KB
2024-10-25 22:08:15
py3-bleak-0.22.3-r0.apk
369.81KB
2024-10-25 22:08:15
py3-blockchain-1.4.4-r7.apk
10.97KB
2024-10-25 22:08:15
py3-blockchain-pyc-1.4.4-r7.apk
17.86KB
2024-10-25 22:08:15
py3-blockdiag-3.0.0-r6.apk
68.02KB
2025-01-10 17:33:43
py3-blockdiag-pyc-3.0.0-r6.apk
148.83KB
2025-01-10 17:33:43
py3-blockdiag-tests-3.0.0-r6.apk
2.51MB
2025-01-10 17:33:43
py3-bookkeeper-4.17.2-r0.apk
43.01KB
2025-07-15 13:28:49
py3-bookkeeper-pyc-4.17.2-r0.apk
66.69KB
2025-07-15 13:28:49
py3-bottle-api-0.0.4-r7.apk
4.87KB
2024-10-25 22:08:15
py3-bottle-api-pyc-0.0.4-r7.apk
5.22KB
2024-10-25 22:08:15
py3-bottle-pgsql-0.2-r5.apk
4.27KB
2024-10-25 22:08:15
py3-bottle-redis-0.2.3-r6.apk
3.30KB
2024-10-25 22:08:15
py3-bottle-redis-pyc-0.2.3-r6.apk
3.13KB
2024-10-25 22:08:15
py3-bottle-renderer-0.1.1-r9.apk
3.99KB
2024-10-25 22:08:15
py3-bottle-renderer-pyc-0.1.1-r9.apk
3.74KB
2024-10-25 22:08:15
py3-bottle-request-0.2.0-r9.apk
3.21KB
2024-10-25 22:08:15
py3-bottle-request-pyc-0.2.0-r9.apk
2.60KB
2024-10-25 22:08:15
py3-bottle-rest-0.6.0-r1.apk
6.11KB
2024-10-25 22:08:15
py3-bottle-rest-pyc-0.6.0-r1.apk
5.18KB
2024-10-25 22:08:15
py3-bottle-session-1.0-r6.apk
10.20KB
2024-10-25 22:08:15
py3-bottle-session-pyc-1.0-r6.apk
7.79KB
2024-10-25 22:08:15
py3-bottle-sqlalchemy-0.4.3-r8.apk
4.85KB
2024-10-25 22:08:15
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
5.63KB
2024-10-25 22:08:15
py3-bottle-sqlite-0.2.0-r7.apk
4.70KB
2024-10-25 22:08:15
py3-bottle-sqlite-pyc-0.2.0-r7.apk
5.24KB
2024-10-25 22:08:15
py3-bottle-websocket-0.2.9-r8.apk
4.64KB
2024-10-25 22:08:15
py3-bottle-websocket-pyc-0.2.9-r8.apk
3.14KB
2024-10-25 22:08:15
py3-bottle-werkzeug-0.1.1-r9.apk
4.09KB
2024-10-25 22:08:15
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
4.25KB
2024-10-25 22:08:15
py3-bson-0.5.10-r6.apk
11.69KB
2024-10-25 22:08:15
py3-bson-pyc-0.5.10-r6.apk
18.50KB
2024-10-25 22:08:15
py3-businesstime-0.3.0-r9.apk
10.63KB
2024-10-25 22:08:15
py3-businesstime-pyc-0.3.0-r9.apk
16.34KB
2024-10-25 22:08:15
py3-c3d-0.5.2-r1.apk
32.08KB
2024-10-25 22:08:15
py3-c3d-pyc-0.5.2-r1.apk
53.70KB
2024-10-25 22:08:15
py3-caldav-2.0.1-r0.apk
87.63KB
2025-06-26 16:55:27
py3-caldav-pyc-2.0.1-r0.apk
117.56KB
2025-06-26 16:55:27
py3-cassandra-driver-3.29.2-r0.apk
285.84KB
2024-10-25 22:08:15
py3-cassandra-driver-pyc-3.29.2-r0.apk
559.95KB
2024-10-25 22:08:15
py3-catkin-pkg-0.5.2-r4.apk
57.15KB
2024-10-25 22:08:15
py3-catkin-pkg-pyc-0.5.2-r4.apk
102.63KB
2024-10-25 22:08:15
py3-cchardet-2.1.7-r5.apk
119.79KB
2024-10-25 22:08:15
py3-cchardet-pyc-2.1.7-r5.apk
3.05KB
2024-10-25 22:08:15
py3-cdio-2.1.1-r6.apk
95.75KB
2025-01-25 09:04:15
py3-cdio-pyc-2.1.1-r6.apk
43.15KB
2025-01-25 09:04:15
py3-certauth-1.3.0-r1.apk
8.71KB
2024-10-25 22:08:15
py3-certauth-pyc-1.3.0-r1.apk
9.09KB
2024-10-25 22:08:15
py3-chameleon-4.6.0-r0.apk
97.14KB
2025-07-06 12:18:13
py3-chameleon-pyc-4.6.0-r0.apk
130.63KB
2025-07-06 12:18:13
py3-ciso8601-2.3.2-r0.apk
16.00KB
2025-08-08 19:10:51
py3-cjkwrap-2.2-r6.apk
4.52KB
2025-05-14 22:18:25
py3-cjkwrap-pyc-2.2-r6.apk
5.25KB
2025-05-14 22:18:25
py3-class-doc-1.25-r1.apk
6.06KB
2024-10-25 22:08:15
py3-class-doc-pyc-1.25-r1.apk
8.71KB
2024-10-25 22:08:15
py3-click-completion-0.5.2-r1.apk
10.79KB
2024-10-25 22:08:15
py3-click-completion-pyc-0.5.2-r1.apk
14.25KB
2024-10-25 22:08:15
py3-click-default-group-1.2.4-r1.apk
5.15KB
2024-10-25 22:08:15
py3-click-default-group-pyc-1.2.4-r1.apk
4.53KB
2024-10-25 22:08:15
py3-click-threading-0.5.0-r5.apk
6.35KB
2024-10-25 22:08:15
py3-click-threading-pyc-0.5.0-r5.apk
7.85KB
2024-10-25 22:08:15
py3-clickclick-20.10.2-r4.apk
7.95KB
2024-10-25 22:08:15
py3-clickclick-pyc-20.10.2-r4.apk
9.78KB
2024-10-25 22:08:15
py3-cmd2-2.4.3-r2.apk
139.42KB
2024-10-25 22:08:15
py3-cmd2-pyc-2.4.3-r2.apk
222.61KB
2024-10-25 22:08:15
py3-cobs-1.2.0-r4.apk
16.04KB
2024-10-25 22:08:15
py3-cobs-pyc-1.2.0-r4.apk
12.45KB
2024-10-25 22:08:15
py3-colander-2.0-r2.apk
62.47KB
2024-10-25 22:08:15
py3-colander-pyc-2.0-r2.apk
42.48KB
2024-10-25 22:08:15
py3-colorthief-0.2.1-r1.apk
7.31KB
2024-10-25 22:08:15
py3-colorthief-pyc-0.2.1-r1.apk
10.05KB
2024-10-25 22:08:15
py3-columnize-0.3.11-r4.apk
8.52KB
2024-10-25 22:08:15
py3-columnize-pyc-0.3.11-r4.apk
7.48KB
2024-10-25 22:08:15
py3-compdb-0.2.0-r8.apk
23.30KB
2024-10-25 22:08:15
py3-compdb-doc-0.2.0-r8.apk
3.04KB
2024-10-25 22:08:15
py3-compdb-pyc-0.2.0-r8.apk
39.63KB
2024-10-25 22:08:15
py3-confusable-homoglyphs-3.3.1-r0.apk
137.14KB
2025-09-26 03:43:27
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
9.36KB
2025-09-26 03:43:27
py3-cookiecutter-2.6.0-r1.apk
35.37KB
2024-10-25 22:08:15
py3-cookiecutter-doc-2.6.0-r1.apk
3.73KB
2024-10-25 22:08:15
py3-cookiecutter-pyc-2.6.0-r1.apk
47.50KB
2024-10-25 22:08:15
py3-coreapi-2.3.3-r9.apk
22.23KB
2024-10-25 22:08:15
py3-coreapi-pyc-2.3.3-r9.apk
43.26KB
2024-10-25 22:08:15
py3-crc16-0.1.1-r10.apk
11.55KB
2024-10-25 22:08:15
py3-crc16-pyc-0.1.1-r10.apk
4.71KB
2024-10-25 22:08:15
py3-createrepo_c-1.1.4-r0.apk
39.53KB
2024-10-25 22:08:15
py3-createrepo_c-pyc-1.1.4-r0.apk
14.51KB
2024-10-25 22:08:15
py3-croniter-6.0.0-r0.apk
25.80KB
2025-08-25 12:05:31
py3-croniter-pyc-6.0.0-r0.apk
25.58KB
2025-08-25 12:05:31
py3-cryptg-0.5.0-r0.apk
166.38KB
2025-05-11 14:57:51
py3-cryptg-pyc-0.5.0-r0.apk
1.91KB
2025-05-11 14:57:51
py3-cssutils-2.11.1-r1.apk
155.20KB
2024-10-25 22:08:15
py3-cssutils-pyc-2.11.1-r1.apk
278.65KB
2024-10-25 22:08:15
py3-cstruct-6.1-r0.apk
23.17KB
2025-08-22 02:06:56
py3-cstruct-pyc-6.1-r0.apk
37.63KB
2025-08-22 02:06:56
py3-cucumber-tag-expressions-6.1.1-r0.apk
8.67KB
2024-12-01 22:13:53
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
10.42KB
2024-12-01 22:13:53
py3-cvxpy-1.2.1-r5.apk
631.58KB
2024-10-25 22:08:15
py3-cvxpy-pyc-1.2.1-r5.apk
936.08KB
2024-10-25 22:08:15
py3-cython-test-exception-raiser-1.0.2-r0.apk
15.76KB
2024-10-25 22:08:15
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
1.82KB
2024-10-25 22:08:15
py3-dash-bootstrap-components-1.6.0-r0.apk
16.49KB
2025-04-13 13:33:57
py3-dataclasses-json-0.6.7-r0.apk
27.41KB
2024-10-25 22:08:15
py3-dataclasses-json-pyc-0.6.7-r0.apk
35.65KB
2024-10-25 22:08:15
py3-dataclasses-serialization-1.3.1-r3.apk
10.96KB
2024-10-25 22:08:15
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
14.27KB
2024-10-25 22:08:15
py3-dateparser-1.2.2-r0.apk
216.22KB
2025-07-06 22:46:20
py3-dateparser-pyc-1.2.2-r0.apk
335.19KB
2025-07-06 22:46:20
py3-daterangestr-0.0.3-r8.apk
4.35KB
2024-10-25 22:08:15
py3-daterangestr-pyc-0.0.3-r8.apk
4.22KB
2024-10-25 22:08:15
py3-dbus-fast-2.44.3-r0.apk
777.19KB
2025-08-10 23:16:53
py3-dbus-fast-doc-2.44.3-r0.apk
6.24KB
2025-08-10 23:16:53
py3-dbus-fast-pyc-2.44.3-r0.apk
128.85KB
2025-08-10 23:16:53
py3-deluge-client-1.10.2-r0.apk
12.89KB
2024-10-25 22:08:15
py3-deluge-client-doc-1.10.2-r0.apk
2.25KB
2024-10-25 22:08:15
py3-deluge-client-pyc-1.10.2-r0.apk
19.65KB
2024-10-25 22:08:15
py3-dexml-0.5.1-r9.apk
22.21KB
2024-10-25 22:08:15
py3-dexml-pyc-0.5.1-r9.apk
37.49KB
2024-10-25 22:08:15
py3-discid-1.3.0-r0.apk
12.54KB
2025-07-29 00:30:08
py3-discid-pyc-1.3.0-r0.apk
13.47KB
2025-07-29 00:30:08
py3-distorm3-3.5.2-r6.apk
45.85KB
2024-10-25 22:08:15
py3-distorm3-pyc-3.5.2-r6.apk
48.65KB
2024-10-25 22:08:15
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
14.66KB
2024-10-25 22:08:15
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
14.90KB
2024-10-25 22:08:15
py3-django-suit-0.2.28-r8.apk
365.77KB
2024-10-25 22:08:15
py3-django-suit-pyc-0.2.28-r8.apk
32.50KB
2024-10-25 22:08:15
py3-django-taggit-serializer-0.1.7-r8.apk
4.02KB
2024-10-25 22:08:15
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
4.96KB
2024-10-25 22:08:15
py3-dnslib-0.9.26-r0.apk
56.40KB
2025-09-01 21:15:23
py3-dnslib-pyc-0.9.26-r0.apk
109.07KB
2025-09-01 21:15:23
py3-dogpile.cache-1.3.3-r1.apk
52.58KB
2025-05-14 21:17:54
py3-dogpile.cache-pyc-1.3.3-r1.apk
90.48KB
2025-05-14 21:17:54
py3-doi-0.2-r0.apk
6.16KB
2025-04-12 15:23:08
py3-doi-pyc-0.2-r0.apk
4.75KB
2025-04-12 15:23:08
py3-doit-0.36.0-r5.apk
76.50KB
2024-10-25 22:08:15
py3-doit-pyc-0.36.0-r5.apk
133.25KB
2024-10-25 22:08:15
py3-dominate-2.9.1-r1.apk
24.75KB
2024-10-25 22:08:15
py3-dominate-pyc-2.9.1-r1.apk
33.73KB
2024-10-25 22:08:15
py3-dotty-dict-1.3.1-r4.apk
8.40KB
2024-10-25 22:08:15
py3-dotty-dict-pyc-1.3.1-r4.apk
8.68KB
2024-10-25 22:08:15
py3-downloader-cli-0.3.4-r2.apk
11.17KB
2025-05-14 21:17:54
py3-downloader-cli-pyc-0.3.4-r2.apk
14.13KB
2025-05-14 21:17:54
py3-dpath-2.2.0-r0.apk
17.02KB
2024-10-25 22:08:15
py3-dpath-pyc-2.2.0-r0.apk
17.76KB
2024-10-25 22:08:15
py3-drf-yasg-1.21.10-r0.apk
4.05MB
2025-07-15 13:28:49
py3-drf-yasg-pyc-1.21.10-r0.apk
98.22KB
2025-07-15 13:28:49
py3-dunamai-1.25.0-r0.apk
26.86KB
2025-07-26 01:23:57
py3-dunamai-pyc-1.25.0-r0.apk
43.84KB
2025-07-26 01:23:57
py3-duniterpy-1.1.1-r3.apk
221.32KB
2024-10-25 22:08:15
py3-dweepy-0.3.0-r7.apk
9.09KB
2024-10-25 22:08:15
py3-dweepy-pyc-0.3.0-r7.apk
6.26KB
2024-10-25 22:08:15
py3-ecbdata-0.1.1-r0.apk
13.47KB
2025-04-14 03:06:50
py3-ecos-2.0.11-r4.apk
26.94KB
2024-10-25 22:08:15
py3-ecos-pyc-2.0.11-r4.apk
3.63KB
2024-10-25 22:08:15
py3-edalize-0.5.4-r0.apk
122.60KB
2024-10-25 22:08:15
py3-edalize-pyc-0.5.4-r0.apk
190.17KB
2024-10-25 22:08:15
py3-editdistance-s-1.0.0-r6.apk
14.14KB
2024-10-25 22:08:15
py3-editdistance-s-pyc-1.0.0-r6.apk
2.02KB
2024-10-25 22:08:15
py3-empy-3.3.4-r7.apk
39.32KB
2024-10-25 22:08:15
py3-empy-pyc-3.3.4-r7.apk
58.49KB
2024-10-25 22:08:15
py3-engineio-4.12.2-r0.apk
48.68KB
2025-09-09 16:17:34
py3-engineio-doc-4.12.2-r0.apk
33.41KB
2025-09-09 16:17:34
py3-engineio-pyc-4.12.2-r0.apk
103.93KB
2025-09-09 16:17:34
py3-enlighten-1.14.1-r0.apk
36.90KB
2025-08-28 19:22:57
py3-enlighten-pyc-1.14.1-r0.apk
46.40KB
2025-08-28 19:22:57
py3-enzyme-0.5.2-r0.apk
22.72KB
2025-07-15 13:28:49
py3-enzyme-pyc-0.5.2-r0.apk
18.80KB
2025-07-15 13:28:49
py3-eradicate-2.3.0-r2.apk
7.57KB
2024-10-25 22:08:15
py3-eradicate-doc-2.3.0-r2.apk
2.52KB
2024-10-25 22:08:15
py3-eradicate-pyc-2.3.0-r2.apk
8.35KB
2024-10-25 22:08:15
py3-euclid3-0.01-r8.apk
14.17KB
2024-10-25 22:08:15
py3-euclid3-pyc-0.01-r8.apk
32.55KB
2024-10-25 22:08:15
py3-evalidate-2.0.5-r0.apk
9.40KB
2025-08-25 12:05:31
py3-evalidate-pyc-2.0.5-r0.apk
6.34KB
2025-08-25 12:05:31
py3-eventlet-0.38.1-r0.apk
332.21KB
2024-12-11 23:35:20
py3-eventlet-pyc-0.38.1-r0.apk
335.64KB
2024-12-11 23:35:20
py3-evohome-client-0.3.9-r0.apk
18.83KB
2025-07-15 13:28:49
py3-evohome-client-pyc-0.3.9-r0.apk
27.31KB
2025-07-15 13:28:49
py3-fastdiff-0.3.0-r5.apk
38.43KB
2024-10-25 22:08:15
py3-fastdiff-pyc-0.3.0-r5.apk
4.20KB
2024-10-25 22:08:15
py3-feedgen-1.0.0-r1.apk
40.23KB
2024-10-25 22:08:15
py3-feedgen-pyc-1.0.0-r1.apk
61.69KB
2024-10-25 22:08:15
py3-feedgenerator-2.1.0-r2.apk
18.02KB
2024-10-25 22:08:15
py3-feedgenerator-pyc-2.1.0-r2.apk
26.75KB
2024-10-25 22:08:15
py3-ffmpeg-0.2.0-r5.apk
23.63KB
2025-05-14 21:17:54
py3-ffmpeg-pyc-0.2.0-r5.apk
32.61KB
2025-05-14 21:17:54
py3-firmata-1.0.3-r10.apk
14.18KB
2024-10-25 22:08:15
py3-firmata-pyc-1.0.3-r10.apk
20.88KB
2024-10-25 22:08:15
py3-flake8-blind-except-0.2.1-r4.apk
5.20KB
2024-10-25 22:08:15
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2.59KB
2024-10-25 22:08:15
py3-flake8-builtins-2.5.0-r0.apk
12.73KB
2024-12-07 23:51:32
py3-flake8-builtins-pyc-2.5.0-r0.apk
7.88KB
2024-12-07 23:51:32
py3-flake8-copyright-0.2.4-r3.apk
18.25KB
2024-10-25 22:08:15
py3-flake8-copyright-pyc-0.2.4-r3.apk
3.33KB
2024-10-25 22:08:15
py3-flake8-debugger-4.1.2-r4.apk
6.24KB
2024-10-25 22:08:15
py3-flake8-debugger-pyc-4.1.2-r4.apk
5.97KB
2024-10-25 22:08:15
py3-flake8-import-order-0.18.2-r4.apk
15.41KB
2024-10-25 22:08:15
py3-flake8-import-order-pyc-0.18.2-r4.apk
16.77KB
2024-10-25 22:08:15
py3-flake8-isort-6.1.1-r1.apk
18.20KB
2024-10-25 22:08:15
py3-flake8-isort-pyc-6.1.1-r1.apk
5.37KB
2024-10-25 22:08:15
py3-flake8-polyfill-1.0.2-r5.apk
7.07KB
2024-10-25 22:08:15
py3-flake8-polyfill-pyc-1.0.2-r5.apk
5.69KB
2024-10-25 22:08:15
py3-flake8-print-5.0.0-r5.apk
6.72KB
2024-10-25 22:08:15
py3-flake8-print-pyc-5.0.0-r5.apk
4.41KB
2024-10-25 22:08:15
py3-flake8-snippets-0.2-r8.apk
5.32KB
2024-10-25 22:08:15
py3-flake8-snippets-pyc-0.2-r8.apk
3.67KB
2024-10-25 22:08:15
py3-flake8-todo-0.7-r7.apk
3.60KB
2024-10-25 22:08:15
py3-flake8-todo-pyc-0.7-r7.apk
2.23KB
2024-10-25 22:08:15
py3-flask-accept-0.0.7-r0.apk
5.58KB
2025-07-15 13:28:49
py3-flask-accept-pyc-0.0.7-r0.apk
3.68KB
2025-07-15 13:28:49
py3-flask-admin-1.6.1-r3.apk
6.52MB
2024-10-25 22:08:15
py3-flask-admin-pyc-1.6.1-r3.apk
358.30KB
2024-10-25 22:08:15
py3-flask-autorouter-0.2.2-r3.apk
5.08KB
2024-10-25 22:08:15
py3-flask-autorouter-pyc-0.2.2-r3.apk
4.95KB
2024-10-25 22:08:15
py3-flask-basicauth-0.2.0-r9.apk
5.34KB
2024-10-25 22:08:15
py3-flask-basicauth-pyc-0.2.0-r9.apk
4.08KB
2024-10-25 22:08:15
py3-flask-bcrypt-1.0.1-r5.apk
7.14KB
2024-10-25 22:08:15
py3-flask-bcrypt-pyc-1.0.1-r5.apk
5.80KB
2024-10-25 22:08:15
py3-flask-bootstrap-3.3.7.1-r9.apk
448.63KB
2025-05-13 00:20:41
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
10.87KB
2025-05-13 00:20:41
py3-flask-cache-0.13.1-r9.apk
12.77KB
2024-10-25 22:08:15
py3-flask-cache-pyc-0.13.1-r9.apk
18.28KB
2024-10-25 22:08:15
py3-flask-cdn-1.5.3-r8.apk
4.76KB
2024-10-25 22:08:15
py3-flask-cdn-pyc-1.5.3-r8.apk
4.08KB
2024-10-25 22:08:15
py3-flask-components-0.1.1-r9.apk
3.93KB
2024-10-25 22:08:15
py3-flask-components-pyc-0.1.1-r9.apk
3.31KB
2024-10-25 22:08:15
py3-flask-dbconfig-0.3.12-r8.apk
85.69KB
2024-10-25 22:08:15
py3-flask-dbconfig-pyc-0.3.12-r8.apk
6.25KB
2024-10-25 22:08:15
py3-flask-flatpages-0.8.3-r0.apk
10.70KB
2024-12-07 00:57:23
py3-flask-flatpages-pyc-0.8.3-r0.apk
13.65KB
2024-12-07 00:57:23
py3-flask-gzip-0.2-r8.apk
3.18KB
2024-10-25 22:08:15
py3-flask-gzip-pyc-0.2-r8.apk
2.83KB
2024-10-25 22:08:15
py3-flask-headers-1.0-r9.apk
3.20KB
2024-10-25 22:08:15
py3-flask-headers-pyc-1.0-r9.apk
2.45KB
2024-10-25 22:08:15
py3-flask-httpauth-4.8.0-r2.apk
7.98KB
2024-10-25 22:08:15
py3-flask-httpauth-pyc-4.8.0-r2.apk
10.59KB
2024-10-25 22:08:15
py3-flask-json-schema-0.0.5-r4.apk
4.10KB
2024-10-25 22:08:15
py3-flask-json-schema-pyc-0.0.5-r4.apk
3.44KB
2024-10-25 22:08:15
py3-flask-limiter-3.10.1-r0.apk
26.61KB
2025-01-25 09:04:15
py3-flask-limiter-pyc-3.10.1-r0.apk
46.97KB
2025-01-25 09:04:15
py3-flask-loopback-1.4.7-r7.apk
5.57KB
2024-10-25 22:08:15
py3-flask-loopback-pyc-1.4.7-r7.apk
7.92KB
2024-10-25 22:08:15
py3-flask-mailman-1.1.1-r0.apk
16.47KB
2024-10-25 22:08:15
py3-flask-mailman-pyc-1.1.1-r0.apk
25.74KB
2024-10-25 22:08:15
py3-flask-markdown-0.3-r8.apk
5.61KB
2024-10-25 22:08:15
py3-flask-markdown-pyc-0.3-r8.apk
3.86KB
2024-10-25 22:08:15
py3-flask-migrate-4.1.0-r0.apk
13.31KB
2025-07-15 13:28:49
py3-flask-migrate-pyc-4.1.0-r0.apk
18.41KB
2025-07-15 13:28:49
py3-flask-paginate-0.8.1-r6.apk
8.21KB
2024-10-25 22:08:15
py3-flask-paginate-pyc-0.8.1-r6.apk
11.19KB
2024-10-25 22:08:15
py3-flask-peewee-3.0.6-r0.apk
172.04KB
2024-10-25 22:08:15
py3-flask-peewee-pyc-3.0.6-r0.apk
95.47KB
2024-10-25 22:08:15
py3-flask-qrcode-3.2.0-r0.apk
18.03KB
2024-12-12 08:32:04
py3-flask-qrcode-pyc-3.2.0-r0.apk
6.15KB
2024-12-12 08:32:04
py3-flask-restaction-0.25.3-r8.apk
114.85KB
2024-10-25 22:08:15
py3-flask-restaction-pyc-0.25.3-r8.apk
19.81KB
2024-10-25 22:08:15
py3-flask-restless-0.17.0-r9.apk
40.48KB
2024-10-25 22:08:15
py3-flask-restless-pyc-0.17.0-r9.apk
59.06KB
2024-10-25 22:08:15
py3-flask-security-5.6.1-r0.apk
294.54KB
2025-04-23 00:24:52
py3-flask-security-pyc-5.6.1-r0.apk
226.94KB
2025-04-23 00:24:52
py3-flask-socketio-5.5.1-r0.apk
18.35KB
2025-09-09 16:17:34
py3-flask-socketio-doc-5.5.1-r0.apk
23.02KB
2025-09-09 16:17:34
py3-flask-socketio-pyc-5.5.1-r0.apk
25.95KB
2025-09-09 16:17:34
py3-flask-themer-2.0.0-r2.apk
7.90KB
2024-10-25 22:08:15
py3-flask-themer-pyc-2.0.0-r2.apk
6.97KB
2024-10-25 22:08:15
py3-forbiddenfruit-0.1.4-r2.apk
8.96KB
2024-10-25 22:08:15
py3-forbiddenfruit-pyc-0.1.4-r2.apk
9.66KB
2024-10-25 22:08:15
py3-fpdf-1.7.2-r5.apk
39.72KB
2024-10-25 22:08:15
py3-fpdf-pyc-1.7.2-r5.apk
89.18KB
2024-10-25 22:08:15
py3-freetype-py-2.5.1-r0.apk
160.95KB
2024-10-25 22:08:15
py3-furl-2.1.4-r0.apk
27.53KB
2025-07-15 13:28:49
py3-furl-pyc-2.1.4-r0.apk
32.48KB
2025-07-15 13:28:49
py3-gdcm-3.2.1-r0.apk
666.03KB
2025-09-23 01:57:07
py3-geoip-1.3.2-r4.apk
21.74KB
2024-10-25 22:08:15
py3-gevent-websocket-0.10.1-r8.apk
19.74KB
2024-10-25 22:08:15
py3-gevent-websocket-pyc-0.10.1-r8.apk
30.36KB
2024-10-25 22:08:15
py3-git-versioner-7.1-r1.apk
11.96KB
2024-10-25 22:08:15
py3-git-versioner-pyc-7.1-r1.apk
13.51KB
2024-10-25 22:08:15
py3-github3-4.0.1-r1.apk
128.26KB
2024-10-25 22:08:15
py3-github3-pyc-4.0.1-r1.apk
226.98KB
2024-10-25 22:08:15
py3-glob2-0.7-r6.apk
10.27KB
2024-10-25 22:08:15
py3-glob2-pyc-0.7-r6.apk
12.91KB
2024-10-25 22:08:15
py3-gls-1.3.1-r1.apk
46.77KB
2024-10-25 22:08:15
py3-gls-pyc-1.3.1-r1.apk
84.13KB
2024-10-25 22:08:15
py3-google-trans-new-1.1.9-r2.apk
9.23KB
2024-10-25 22:08:15
py3-google-trans-new-pyc-1.1.9-r2.apk
10.58KB
2024-10-25 22:08:15
py3-googletrans-3.0.0-r5.apk
15.47KB
2024-10-25 22:08:15
py3-googletrans-pyc-3.0.0-r5.apk
17.42KB
2024-10-25 22:08:15
py3-grequests-0.7.0-r3.apk
6.72KB
2025-05-14 21:17:54
py3-grequests-pyc-0.7.0-r3.apk
5.84KB
2025-05-14 21:17:54
py3-gtkspellcheck-5.0.3-r1.apk
44.78KB
2025-08-09 03:22:05
py3-gtkspellcheck-pyc-5.0.3-r1.apk
29.62KB
2025-08-09 03:22:05
py3-halo-0.0.31-r5.apk
11.46KB
2024-10-25 22:08:15
py3-halo-pyc-0.0.31-r5.apk
13.92KB
2024-10-25 22:08:15
py3-hatch-openzim-0.2.0-r0.apk
24.51KB
2024-10-25 22:08:15
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
24.18KB
2024-10-25 22:08:15
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
12.69KB
2024-10-25 22:08:15
py3-hatch-openzim-pyc-0.2.0-r0.apk
13.00KB
2024-10-25 22:08:15
py3-helper-2.5.0-r5.apk
18.77KB
2024-10-25 22:08:15
py3-helper-pyc-2.5.0-r5.apk
28.17KB
2024-10-25 22:08:15
py3-hfst-3.16.2-r0.apk
343.56KB
2025-03-29 20:40:19
py3-hg-git-1.1.1-r1.apk
70.10KB
2024-10-25 22:08:15
py3-hg-git-pyc-1.1.1-r1.apk
106.80KB
2024-10-25 22:08:15
py3-himitsu-0.0.9-r0.apk
5.81KB
2025-08-25 13:57:34
py3-himitsu-pyc-0.0.9-r0.apk
7.55KB
2025-08-25 13:57:34
py3-hishel-0.1.3-r0.apk
33.25KB
2025-07-09 02:10:21
py3-hishel-pyc-0.1.3-r0.apk
73.20KB
2025-07-09 02:10:21
py3-html5-parser-0.4.12-r1.apk
154.10KB
2024-10-25 22:08:15
py3-html5-parser-pyc-0.4.12-r1.apk
22.27KB
2024-10-25 22:08:15
py3-hurry.filesize-0.9-r8.apk
4.61KB
2024-10-25 22:08:15
py3-hurry.filesize-pyc-0.9-r8.apk
3.25KB
2024-10-25 22:08:15
py3-hypercorn-0.17.3-r0.apk
47.41KB
2025-07-24 16:42:50
py3-hypercorn-pyc-0.17.3-r0.apk
120.28KB
2025-07-24 16:42:50
py3-igraph-0.11.9-r0.apk
393.97KB
2025-06-12 02:26:50
py3-igraph-dev-0.11.9-r0.apk
2.59KB
2025-06-12 02:26:50
py3-igraph-pyc-0.11.9-r0.apk
370.87KB
2025-06-12 02:26:50
py3-imageio-2.37.0-r0.apk
285.48KB
2025-07-15 13:28:49
py3-imageio-ffmpeg-0.4.9-r1.apk
16.49KB
2024-10-25 22:08:15
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
20.26KB
2024-10-25 22:08:15
py3-imageio-pyc-2.37.0-r0.apk
503.80KB
2025-07-15 13:28:49
py3-imdbpy-2021.4.18-r5.apk
229.00KB
2024-10-25 22:08:15
py3-imdbpy-pyc-2021.4.18-r5.apk
242.58KB
2024-10-25 22:08:15
py3-incoming-0.3.1-r8.apk
12.83KB
2024-10-25 22:08:15
py3-incoming-pyc-0.3.1-r8.apk
19.95KB
2024-10-25 22:08:15
py3-infinity-1.5-r6.apk
4.38KB
2024-10-25 22:08:15
py3-infinity-pyc-1.5-r6.apk
3.74KB
2024-10-25 22:08:15
py3-iniparse-0.5-r7.apk
18.69KB
2024-10-25 22:08:15
py3-iniparse-doc-0.5-r7.apk
10.35KB
2024-10-25 22:08:15
py3-iniparse-pyc-0.5-r7.apk
24.62KB
2024-10-25 22:08:15
py3-intervals-0.9.2-r5.apk
9.45KB
2024-10-25 22:08:15
py3-intervals-pyc-0.9.2-r5.apk
15.16KB
2024-10-25 22:08:15
py3-ioctl-opt-1.3-r0.apk
11.62KB
2025-01-27 23:37:35
py3-ioctl-opt-pyc-1.3-r0.apk
4.65KB
2025-01-27 23:37:35
py3-irc-20.4.1-r0.apk
40.89KB
2024-10-25 22:08:15
py3-irc-pyc-20.4.1-r0.apk
70.99KB
2024-10-25 22:08:15
py3-isbnlib-3.10.14-r0.apk
42.66KB
2025-01-25 09:04:15
py3-isbnlib-pyc-3.10.14-r0.apk
66.68KB
2025-01-25 09:04:15
py3-iso639-lang-2.2.3-r0.apk
268.99KB
2024-10-25 22:08:15
py3-iso639-lang-pyc-2.2.3-r0.apk
9.70KB
2024-10-25 22:08:15
py3-itemadapter-0.10.0-r0.apk
11.25KB
2024-11-30 22:42:18
py3-itemadapter-pyc-0.10.0-r0.apk
12.67KB
2024-11-30 22:42:18
py3-itemloaders-1.3.2-r1.apk
12.31KB
2025-08-09 03:22:05
py3-itemloaders-pyc-1.3.2-r1.apk
16.52KB
2025-08-09 03:22:05
py3-iterable-io-1.0.0-r0.apk
6.04KB
2024-10-25 22:08:15
py3-iterable-io-pyc-1.0.0-r0.apk
5.25KB
2024-10-25 22:08:15
py3-itunespy-1.6-r5.apk
9.74KB
2025-05-14 21:17:54
py3-itunespy-pyc-1.6-r5.apk
14.59KB
2025-05-14 21:17:54
py3-janus-1.2.0-r0.apk
12.36KB
2024-12-13 06:57:44
py3-janus-pyc-1.2.0-r0.apk
13.33KB
2024-12-13 06:57:44
py3-jaraco.logging-3.4.0-r0.apk
5.32KB
2025-06-20 07:10:16
py3-jaraco.logging-pyc-3.4.0-r0.apk
6.11KB
2025-06-20 07:10:16
py3-jaraco.path-3.7.2-r0.apk
7.62KB
2024-10-25 22:08:15
py3-jaraco.path-pyc-3.7.2-r0.apk
9.53KB
2024-10-25 22:08:15
py3-jaraco.stream-3.0.4-r0.apk
6.71KB
2024-12-15 00:50:02
py3-jaraco.stream-pyc-3.0.4-r0.apk
8.08KB
2024-12-15 00:50:02
py3-jaraco.vcs-2.4.1-r0.apk
10.19KB
2025-03-10 22:53:27
py3-jaraco.vcs-pyc-2.4.1-r0.apk
15.95KB
2025-03-10 22:53:27
py3-jaraco.versioning-1.1.0-r0.apk
5.91KB
2024-10-25 22:08:15
py3-jaraco.versioning-pyc-1.1.0-r0.apk
6.10KB
2024-10-25 22:08:15
py3-joserfc-1.3.4-r0.apk
56.84KB
2025-09-22 08:00:41
py3-joserfc-pyc-1.3.4-r0.apk
111.82KB
2025-09-22 08:00:41
py3-junit-xml-1.9-r3.apk
8.34KB
2024-10-25 22:08:15
py3-junit-xml-pyc-1.9-r3.apk
9.31KB
2024-10-25 22:08:15
py3-kazoo-0_git20211202-r4.apk
125.03KB
2024-10-25 22:08:15
py3-kazoo-pyc-0_git20211202-r4.apk
244.50KB
2024-10-25 22:08:15
py3-keepalive-0.5-r5.apk
8.97KB
2024-10-25 22:08:15
py3-keepalive-doc-0.5-r5.apk
1.99KB
2024-10-25 22:08:15
py3-keepalive-pyc-0.5-r5.apk
13.05KB
2024-10-25 22:08:15
py3-kerberos-1.3.1-r5.apk
15.85KB
2024-10-25 22:08:15
py3-landlock-1.0.0_pre4-r2.apk
8.41KB
2024-10-25 22:08:15
py3-landlock-pyc-1.0.0_pre4-r2.apk
9.52KB
2024-10-25 22:08:15
py3-langcodes-3.3.0-r2.apk
173.54KB
2024-10-25 22:08:15
py3-langcodes-pyc-3.3.0-r2.apk
109.73KB
2024-10-25 22:08:15
py3-language-data-1.3.0-r0.apk
4.95MB
2024-12-01 22:08:09
py3-language-data-pyc-1.3.0-r0.apk
2.96MB
2024-12-01 22:08:09
py3-latex2mathml-3.77.0-r1.apk
72.27KB
2024-10-25 22:08:15
py3-latex2mathml-pyc-3.77.0-r1.apk
34.95KB
2024-10-25 22:08:15
py3-lib_users-0.15-r4.apk
15.62KB
2024-10-25 22:08:15
py3-lib_users-pyc-0.15-r4.apk
9.51KB
2024-10-25 22:08:15
py3-libacl-0.7.0-r2.apk
24.70KB
2024-10-25 22:08:15
py3-libcec-rpi-6.0.2-r4.apk
101.72KB
2024-10-25 22:08:15
py3-libguestfs-1.56.1-r0.apk
175.84KB
2025-07-24 16:42:50
py3-libiio-0.25-r2.apk
12.57KB
2024-10-25 22:08:15
py3-liblarch-3.2.0-r6.apk
29.51KB
2024-12-08 23:36:41
py3-liblarch-pyc-3.2.0-r6.apk
49.60KB
2024-12-08 23:36:41
py3-libmdbx-0.10.2-r7.apk
27.89KB
2024-10-25 22:08:15
py3-libmdbx-pyc-0.10.2-r7.apk
32.82KB
2024-10-25 22:08:15
py3-libnacl-2.1.0-r1.apk
20.31KB
2024-10-25 22:08:15
py3-libnacl-pyc-2.1.0-r1.apk
30.35KB
2024-10-25 22:08:15
py3-libpyshell-0.4.1-r1.apk
11.52KB
2025-06-10 12:39:22
py3-libpyshell-pyc-0.4.1-r1.apk
18.30KB
2025-06-10 12:39:22
py3-librtmp-0.3.0-r6.apk
32.46KB
2024-10-25 22:08:15
py3-librtmp-pyc-0.3.0-r6.apk
24.57KB
2024-10-25 22:08:15
py3-limits-3.14.1-r0.apk
33.50KB
2024-12-25 20:58:27
py3-limits-pyc-3.14.1-r0.apk
71.44KB
2024-12-25 20:58:27
py3-linkify-it-py-2.0.3-r1.apk
21.33KB
2024-10-25 22:08:15
py3-linkify-it-py-pyc-2.0.3-r1.apk
23.42KB
2024-10-25 22:08:15
py3-linux-procfs-0.7.3-r0.apk
13.60KB
2025-01-13 23:19:12
py3-linux-procfs-pyc-0.7.3-r0.apk
22.13KB
2025-01-13 23:19:12
py3-litex-hub-modules-2024.04-r0.apk
1.62KB
2024-10-25 22:08:15
py3-litex-hub-modules-pyc-2024.04-r0.apk
1.11MB
2024-10-25 22:08:15
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
5.55MB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
1.93MB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
934.13KB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
500.02KB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
10.15MB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
1.80MB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
112.11KB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
207.86KB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
19.46MB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
44.83KB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
221.40KB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
7.56KB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
230.29KB
2024-10-25 22:08:16
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
56.79MB
2024-10-25 22:08:17
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
675.21KB
2024-10-25 22:08:17
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
712.63KB
2024-10-25 22:08:17
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2.40MB
2024-10-25 22:08:17
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
57.95KB
2024-10-25 22:08:17
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2.20MB
2024-10-25 22:08:17
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
4.69MB
2024-10-25 22:08:17
py3-litex-hub-valentyusb-2024.04-r0.apk
111.52KB
2024-10-25 22:08:17
py3-livestream-2.1.0-r0.apk
766.24KB
2024-11-26 00:22:44
py3-livestream-pyc-2.1.0-r0.apk
29.89KB
2024-11-26 00:22:44
py3-log-symbols-0.0.14-r5.apk
4.20KB
2024-10-25 22:08:17
py3-log-symbols-pyc-0.0.14-r5.apk
3.07KB
2024-10-25 22:08:17
py3-logtop-0.7-r1.apk
18.85KB
2025-08-09 03:22:05
py3-logtop-pyc-0.7-r1.apk
4.08KB
2025-08-09 03:22:05
py3-lsp-black-2.0.0-r1.apk
7.50KB
2024-10-25 22:08:17
py3-lsp-black-pyc-2.0.0-r1.apk
6.37KB
2024-10-25 22:08:17
py3-lsp-mypy-0.7.0-r1.apk
12.82KB
2025-08-30 04:39:27
py3-lsp-mypy-pyc-0.7.0-r1.apk
12.76KB
2025-08-30 04:39:27
py3-lsprotocol-2023.0.1-r1.apk
69.49KB
2024-10-25 22:08:17
py3-lsprotocol-pyc-2023.0.1-r1.apk
107.12KB
2024-10-25 22:08:17
py3-luhn-0.2.0-r9.apk
3.98KB
2024-10-25 22:08:17
py3-luhn-pyc-0.2.0-r9.apk
2.63KB
2024-10-25 22:08:17
py3-lunr-0.6.2-r4.apk
32.48KB
2024-10-25 22:08:17
py3-lunr-pyc-0.6.2-r4.apk
50.62KB
2024-10-25 22:08:17
py3-lxmf-0.8.0-r0.apk
50.79KB
2025-09-23 23:48:58
py3-lxmf-pyc-0.8.0-r0.apk
109.39KB
2025-09-23 23:48:58
py3-ly-0.9.9-r0.apk
186.60KB
2025-07-15 13:28:49
py3-ly-doc-0.9.9-r0.apk
8.06KB
2025-07-15 13:28:49
py3-ly-pyc-0.9.9-r0.apk
351.99KB
2025-07-15 13:28:49
py3-lzo-1.16-r1.apk
16.18KB
2024-10-25 22:08:17
py3-lzo-pyc-1.16-r1.apk
1.97KB
2024-10-25 22:08:17
py3-m2crypto-0.41.0-r2.apk
186.23KB
2024-10-25 22:08:17
py3-m2crypto-pyc-0.41.0-r2.apk
122.60KB
2024-10-25 22:08:17
py3-maidenhead-1.8.0-r0.apk
7.94KB
2025-08-11 00:05:06
py3-maidenhead-doc-1.8.0-r0.apk
3.69KB
2025-08-11 00:05:06
py3-maidenhead-pyc-1.8.0-r0.apk
7.96KB
2025-08-11 00:05:06
py3-mando-0.7.1-r3.apk
22.14KB
2024-10-25 22:08:17
py3-mando-doc-0.7.1-r3.apk
4.19KB
2024-10-25 22:08:17
py3-mando-pyc-0.7.1-r3.apk
35.97KB
2024-10-25 22:08:17
py3-manuel-1.13.0-r0.apk
39.11KB
2024-11-30 22:13:57
py3-manuel-pyc-1.13.0-r0.apk
25.61KB
2024-11-30 22:13:57
py3-mapbox-earcut-1.0.1-r2.apk
54.06KB
2024-10-25 22:08:17
py3-marisa-trie-1.3.1-r0.apk
124.92KB
2025-08-29 12:31:53
py3-markdown2-2.5.0-r0.apk
47.22KB
2024-10-25 22:08:17
py3-markdown2-pyc-2.5.0-r0.apk
75.49KB
2024-10-25 22:08:17
py3-markdownify-1.2.0-r0.apk
15.98KB
2025-08-11 15:35:40
py3-markdownify-pyc-1.2.0-r0.apk
18.26KB
2025-08-11 15:35:40
py3-marshmallow-3.26.1-r0.apk
47.54KB
2025-02-22 14:17:59
py3-marshmallow-enum-1.5.1-r7.apk
5.29KB
2024-10-25 22:08:17
py3-marshmallow-enum-pyc-1.5.1-r7.apk
4.45KB
2024-10-25 22:08:17
py3-marshmallow-pyc-3.26.1-r0.apk
84.90KB
2025-02-22 14:17:59
py3-mbedtls-2.10.1-r3.apk
882.55KB
2025-05-29 14:58:14
py3-mbedtls-pyc-2.10.1-r3.apk
27.01KB
2025-05-29 14:58:14
py3-meshtastic-2.7.2-r0.apk
539.83KB
2025-09-16 16:46:12
py3-migen-0.9.2-r2.apk
142.62KB
2024-10-25 22:08:18
py3-migen-pyc-0.9.2-r2.apk
295.65KB
2024-10-25 22:08:18
py3-milc-1.9.1-r0.apk
25.99KB
2025-01-25 18:04:45
py3-milc-pyc-1.9.1-r0.apk
41.50KB
2025-01-25 18:04:45
py3-minidb-2.0.8-r0.apk
10.05KB
2024-11-13 20:52:20
py3-minidb-pyc-2.0.8-r0.apk
23.43KB
2024-11-13 20:52:20
py3-minidump-0.0.24-r1.apk
63.53KB
2025-05-29 14:58:14
py3-minidump-pyc-0.0.24-r1.apk
129.10KB
2025-05-29 14:58:14
py3-minikerberos-0.4.7-r0.apk
136.01KB
2025-07-29 00:23:52
py3-minikerberos-pyc-0.4.7-r0.apk
281.97KB
2025-07-29 00:23:52
py3-minio-7.2.13-r0.apk
76.39KB
2024-12-25 20:58:27
py3-minio-pyc-7.2.13-r0.apk
160.39KB
2024-12-25 20:58:27
py3-mistletoe-1.4.0-r0.apk
44.56KB
2025-03-19 13:44:17
py3-mistletoe-pyc-1.4.0-r0.apk
93.00KB
2025-03-19 13:44:17
py3-mnemonic-0.21-r0.apk
95.04KB
2024-10-25 22:08:18
py3-mnemonic-doc-0.21-r0.apk
2.40KB
2024-10-25 22:08:18
py3-mnemonic-pyc-0.21-r0.apk
9.69KB
2024-10-25 22:08:18
py3-modbus-tk-1.1.1-r4.apk
24.77KB
2024-10-25 22:08:18
py3-modbus-tk-pyc-1.1.1-r4.apk
48.49KB
2024-10-25 22:08:18
py3-modern_colorthief-0.1.7-r0.apk
714.67KB
2025-06-20 07:10:16
py3-modern_colorthief-pyc-0.1.7-r0.apk
2.60KB
2025-06-20 07:10:16
py3-mopidy-jellyfin-1.0.4-r4.apk
24.54KB
2024-10-25 22:08:18
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
36.65KB
2024-10-25 22:08:18
py3-mopidy-local-3.3.0-r0.apk
27.77KB
2025-01-02 00:25:40
py3-mopidy-local-pyc-3.3.0-r0.apk
34.09KB
2025-01-02 00:25:40
py3-mopidy-mpd-3.3.0-r4.apk
46.02KB
2024-10-25 22:08:18
py3-mopidy-mpd-pyc-3.3.0-r4.apk
73.82KB
2024-10-25 22:08:18
py3-mopidy-tidal-0.3.2-r6.apk
24.75KB
2024-10-25 22:08:18
py3-mopidy-tidal-pyc-0.3.2-r6.apk
35.63KB
2024-10-25 22:08:18
py3-more-properties-1.1.1-r3.apk
7.44KB
2024-10-25 22:08:18
py3-more-properties-pyc-1.1.1-r3.apk
8.22KB
2024-10-25 22:08:18
py3-moviepy-1.0.3-r6.apk
94.49KB
2024-10-25 22:08:18
py3-moviepy-pyc-1.0.3-r6.apk
155.53KB
2024-10-25 22:08:18
py3-msldap-0.5.15-r1.apk
142.95KB
2025-05-29 14:58:14
py3-msldap-pyc-0.5.15-r1.apk
329.83KB
2025-05-29 14:58:14
py3-mss-10.0.0-r0.apk
50.59KB
2024-11-14 15:10:35
py3-natpmp-1.3.2-r1.apk
9.41KB
2024-10-25 22:08:18
py3-natpmp-pyc-1.3.2-r1.apk
9.86KB
2024-10-25 22:08:18
py3-ncclient-0.6.13-r5.apk
68.22KB
2024-10-25 22:08:18
py3-ncclient-pyc-0.6.13-r5.apk
106.52KB
2024-10-25 22:08:18
py3-netifaces2-0.0.22-r0.apk
170.79KB
2024-10-25 22:08:18
py3-netifaces2-pyc-0.0.22-r0.apk
9.21KB
2024-10-25 22:08:18
py3-netmiko-4.6.0-r0.apk
191.92KB
2025-08-13 18:31:09
py3-netmiko-pyc-4.6.0-r0.apk
372.25KB
2025-08-13 18:31:09
py3-nmap-0.7.1-r4.apk
20.35KB
2024-10-25 22:08:18
py3-nmap-pyc-0.7.1-r4.apk
25.48KB
2024-10-25 22:08:18
py3-nose-timer-1.0.1-r6.apk
9.37KB
2024-10-25 22:08:18
py3-nose-timer-pyc-1.0.1-r6.apk
9.91KB
2024-10-25 22:08:18
py3-notifymail-1.1-r8.apk
7.58KB
2024-10-25 22:08:18
py3-notifymail-pyc-1.1-r8.apk
5.74KB
2024-10-25 22:08:18
py3-nptyping-2.5.0-r3.apk
21.34KB
2024-10-25 22:08:18
py3-nptyping-pyc-2.5.0-r3.apk
32.03KB
2024-10-25 22:08:18
py3-ntplib-0.4.0-r5.apk
7.40KB
2024-10-25 22:08:18
py3-ntplib-pyc-0.4.0-r5.apk
8.62KB
2024-10-25 22:08:18
py3-numpy-stl-3.2.0-r0.apk
21.01KB
2024-12-01 04:04:47
py3-numpy-stl-pyc-3.2.0-r0.apk
27.87KB
2024-12-01 04:04:47
py3-nwdiag-3.0.0-r3.apk
4.92MB
2024-10-25 22:08:18
py3-nwdiag-pyc-3.0.0-r3.apk
77.88KB
2024-10-25 22:08:18
py3-okonomiyaki-2.0.0-r0.apk
7.89MB
2024-10-25 22:08:18
py3-okonomiyaki-pyc-2.0.0-r0.apk
243.06KB
2024-10-25 22:08:18
py3-onnxruntime-1.22.2-r0.apk
5.96MB
2025-08-29 13:05:25
py3-onnxruntime-pyc-1.22.2-r0.apk
1.33MB
2025-08-29 13:05:25
py3-openapi-codec-1.3.2-r9.apk
7.65KB
2024-10-25 22:08:18
py3-openapi-codec-pyc-1.3.2-r9.apk
11.73KB
2024-10-25 22:08:18
py3-opendht-3.1.11-r0.apk
143.89KB
2025-01-27 22:56:37
py3-openssh-wrapper-0.5_git20130425-r4.apk
8.25KB
2024-10-25 22:08:18
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
10.42KB
2024-10-25 22:08:18
py3-openwisp-utils-1.0.4-r4.apk
497.64KB
2024-10-25 22:08:18
py3-openwisp-utils-pyc-1.0.4-r4.apk
42.26KB
2024-10-25 22:08:18
py3-orderedmultidict-1.0.1-r7.apk
11.87KB
2024-10-25 22:08:18
py3-orderedmultidict-pyc-1.0.1-r7.apk
17.20KB
2024-10-25 22:08:18
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
9.97KB
2024-10-25 22:08:18
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
8.22KB
2024-10-25 22:08:18
py3-ovos-backend-client-1.0.0-r0.apk
45.92KB
2024-10-25 22:08:18
py3-ovos-backend-client-pyc-1.0.0-r0.apk
91.05KB
2024-10-25 22:08:18
py3-ovos-bus-client-1.3.4-r0.apk
49.96KB
2025-05-11 14:57:51
py3-ovos-bus-client-pyc-1.3.4-r0.apk
89.01KB
2025-05-11 14:57:51
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
102.97KB
2024-10-25 22:08:18
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
163.52KB
2024-10-25 22:08:18
py3-ovos-config-2.1.1-r0.apk
47.22KB
2025-07-15 21:37:24
py3-ovos-config-pyc-2.1.1-r0.apk
34.79KB
2025-07-15 21:37:24
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
357.78KB
2024-10-25 22:08:18
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
436.70KB
2024-10-25 22:08:18
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
8.87KB
2025-07-15 23:03:25
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
4.76KB
2025-07-15 23:03:25
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
550.23KB
2024-10-25 22:08:18
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
86.04KB
2024-10-25 22:08:18
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
46.97KB
2024-10-25 22:08:18
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
103.57KB
2024-10-25 22:08:18
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
8.07KB
2024-10-25 22:08:18
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
3.40KB
2024-10-25 22:08:18
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
11.09KB
2025-07-15 23:03:25
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
8.88KB
2025-07-15 23:03:25
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
8.17KB
2025-07-15 23:03:25
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
3.89KB
2025-07-15 23:03:25
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
8.81KB
2025-07-15 23:03:25
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
5.07KB
2025-07-15 23:03:25
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
4.53KB
2024-10-25 22:08:18
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
4.37KB
2024-10-25 22:08:18
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
95.10KB
2024-11-21 15:31:24
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
11.22KB
2024-11-21 15:31:24
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
11.92KB
2024-11-21 15:31:24
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
9.66KB
2024-11-21 15:31:24
py3-ovos-phal-plugin-system-1.3.3-r0.apk
10.95KB
2025-07-15 19:49:31
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
10.06KB
2025-07-15 19:49:31
py3-ovos-plugin-manager-1.0.3-r0.apk
86.46KB
2025-07-15 22:34:43
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
166.83KB
2025-07-15 22:34:43
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
10.08KB
2024-10-25 22:08:18
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
6.77KB
2024-10-25 22:08:18
py3-ovos-translate-server-plugin-0.0.0-r0.apk
8.33KB
2024-10-25 22:08:18
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
4.11KB
2024-10-25 22:08:18
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
12.24KB
2024-10-25 22:08:18
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
11.40KB
2024-10-25 22:08:18
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
9.51KB
2024-10-25 22:08:18
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
5.45KB
2024-10-25 22:08:18
py3-ovos-utils-0.8.1-r0.apk
72.57KB
2025-07-15 23:03:25
py3-ovos-utils-pyc-0.8.1-r0.apk
130.30KB
2025-07-15 23:03:25
py3-ovos-workshop-7.0.6-r0.apk
92.18KB
2025-07-15 22:29:58
py3-ovos-workshop-pyc-7.0.6-r0.apk
165.47KB
2025-07-15 22:29:58
py3-ovos-ww-plugin-vosk-0.1.7-r0.apk
11.49KB
2025-07-15 22:29:58
py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk
12.53KB
2025-07-15 22:29:58
py3-owslib-0.34.1-r0.apk
194.94KB
2025-07-15 13:28:49
py3-owslib-pyc-0.34.1-r0.apk
424.55KB
2025-07-15 13:28:49
py3-pacparser-1.4.5-r1.apk
368.23KB
2024-10-25 22:08:18
py3-pacparser-pyc-1.4.5-r1.apk
4.04KB
2024-10-25 22:08:18
py3-padacioso-0.2.1-r0.apk
11.45KB
2024-10-25 22:08:18
py3-padacioso-pyc-0.2.1-r0.apk
12.28KB
2024-10-25 22:08:18
py3-pam-2.0.2-r2.apk
11.19KB
2024-10-25 22:08:18
py3-pam-pyc-2.0.2-r2.apk
12.97KB
2024-10-25 22:08:18
py3-pathvalidate-3.3.1-r0.apk
19.09KB
2025-07-15 13:28:49
py3-pathvalidate-pyc-3.3.1-r0.apk
33.59KB
2025-07-15 13:28:49
py3-pbkdf2-1.3-r7.apk
6.29KB
2024-10-25 22:08:18
py3-pbkdf2-pyc-1.3-r7.apk
7.08KB
2024-10-25 22:08:18
py3-pdal-3.4.5-r1.apk
156.02KB
2025-06-22 00:46:11
py3-pdal-pyc-3.4.5-r1.apk
13.09KB
2025-06-22 00:46:11
py3-pelican-4.9.1-r2.apk
233.72KB
2024-10-25 22:08:18
py3-pelican-pyc-4.9.1-r2.apk
147.30KB
2024-10-25 22:08:18
py3-pep8-naming-0.14.1-r0.apk
9.77KB
2024-10-25 22:08:18
py3-pep8-naming-pyc-0.14.1-r0.apk
13.19KB
2024-10-25 22:08:18
py3-phpserialize-1.3-r8.apk
8.85KB
2024-10-25 22:08:18
py3-phpserialize-pyc-1.3-r8.apk
10.70KB
2024-10-25 22:08:18
py3-phx-class-registry-5.0.0-r0.apk
13.14KB
2024-10-25 22:08:18
py3-phx-class-registry-doc-5.0.0-r0.apk
2.22KB
2024-10-25 22:08:18
py3-phx-class-registry-pyc-5.0.0-r0.apk
17.41KB
2024-10-25 22:08:18
py3-piccata-2.0.3-r1.apk
20.08KB
2024-10-25 22:08:18
py3-piccata-pyc-2.0.3-r1.apk
34.22KB
2024-10-25 22:08:18
py3-pickle-secure-0.99.9-r1.apk
7.50KB
2024-10-25 22:08:18
py3-pickle-secure-pyc-0.99.9-r1.apk
5.36KB
2024-10-25 22:08:18
py3-pigpio-79-r4.apk
93.14KB
2024-10-25 22:08:18
py3-pillow_heif-0.18.0-r0.apk
39.83KB
2024-10-25 22:08:18
py3-pillow_heif-pyc-0.18.0-r0.apk
35.53KB
2024-10-25 22:08:18
py3-pip-system-certs-4.0-r1.apk
6.99KB
2024-10-25 22:08:18
py3-pip-system-certs-pyc-4.0-r1.apk
4.66KB
2024-10-25 22:08:18
py3-piper-phonemize-2023.11.14.4-r9.apk
138.42KB
2025-07-15 13:28:49
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
3.35KB
2025-07-15 13:28:49
py3-piper-tts-2023.11.14.2-r14.apk
40.90KB
2025-07-15 13:28:49
py3-playsound-1.3.0-r1.apk
6.86KB
2024-10-25 22:08:18
py3-playsound-pyc-1.3.0-r1.apk
8.54KB
2024-10-25 22:08:18
py3-plotly-5.24.1-r2.apk
20.49MB
2025-04-19 01:10:43
py3-pltable-1.1.0-r1.apk
18.58KB
2024-11-13 08:28:59
py3-pltable-pyc-1.1.0-r1.apk
33.47KB
2024-11-13 08:28:59
py3-pockethernet-0.7.0-r4.apk
14.78KB
2024-10-25 22:08:18
py3-pockethernet-pyc-0.7.0-r4.apk
25.21KB
2024-10-25 22:08:18
py3-poetry-dynamic-versioning-1.9.1-r0.apk
20.60KB
2025-07-26 02:41:46
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
26.39KB
2025-07-26 02:41:46
py3-poppler-qt5-21.3.0-r2.apk
111.26KB
2025-01-29 21:44:57
py3-ppk2-api-0.9.2-r0.apk
16.48KB
2024-11-30 23:08:53
py3-ppk2-api-pyc-0.9.2-r0.apk
16.51KB
2024-11-30 23:08:53
py3-pprintpp-0.4.0-r1.apk
13.91KB
2024-10-25 22:08:18
py3-pprintpp-pyc-0.4.0-r1.apk
16.28KB
2024-10-25 22:08:18
py3-prefixed-0.9.0-r0.apk
14.36KB
2025-08-28 19:22:57
py3-prefixed-pyc-0.9.0-r0.apk
8.61KB
2025-08-28 19:22:57
py3-print-color-0.4.6-r0.apk
8.86KB
2024-10-25 22:08:18
py3-print-color-doc-0.4.6-r0.apk
2.62KB
2024-10-25 22:08:18
py3-print-color-pyc-0.4.6-r0.apk
4.97KB
2024-10-25 22:08:18
py3-priority-2.0.0-r0.apk
9.87KB
2025-07-24 16:42:50
py3-priority-pyc-2.0.0-r0.apk
9.33KB
2025-07-24 16:42:50
py3-proglog-0.1.10-r2.apk
7.38KB
2024-10-25 22:08:18
py3-proglog-pyc-0.1.10-r2.apk
9.92KB
2024-10-25 22:08:18
py3-protego-0.3.1-r0.apk
9.34KB
2024-11-30 22:18:55
py3-protego-pyc-0.3.1-r0.apk
11.56KB
2024-11-30 22:18:55
py3-proxmoxer-2.2.0-r0.apk
16.86KB
2024-12-16 13:36:16
py3-proxmoxer-pyc-2.2.0-r0.apk
27.73KB
2024-12-16 13:36:16
py3-pure_protobuf-3.1.2-r0.apk
21.12KB
2024-10-25 22:08:18
py3-pure_protobuf-pyc-3.1.2-r0.apk
37.68KB
2024-10-25 22:08:18
py3-py-radix-0.10.0-r10.apk
16.96KB
2024-10-25 22:08:18
py3-py-radix-pyc-0.10.0-r10.apk
10.62KB
2024-10-25 22:08:18
py3-pyatem-0.5.0-r4.apk
51.96KB
2024-10-25 22:08:18
py3-pyatem-pyc-0.5.0-r4.apk
91.62KB
2024-10-25 22:08:18
py3-pyautogui-0.9.53-r5.apk
35.07KB
2024-10-25 22:08:18
py3-pyautogui-pyc-0.9.53-r5.apk
45.28KB
2024-10-25 22:08:18
py3-pybars3-0.9.7-r6.apk
14.84KB
2024-10-25 22:08:18
py3-pybars3-pyc-0.9.7-r6.apk
16.84KB
2024-10-25 22:08:18
py3-pycaption-2.2.15-r0.apk
360.07KB
2024-10-25 22:08:18
py3-pycolorterm-0.2.1-r6.apk
5.46KB
2024-10-25 22:08:18
py3-pycolorterm-pyc-0.2.1-r6.apk
3.73KB
2024-10-25 22:08:18
py3-pycosat-0.6.6-r2.apk
42.15KB
2024-10-25 22:08:18
py3-pydes-2.0.1-r5.apk
10.68KB
2024-10-25 22:08:18
py3-pydes-doc-2.0.1-r5.apk
3.64KB
2024-10-25 22:08:18
py3-pydes-pyc-2.0.1-r5.apk
13.17KB
2024-10-25 22:08:18
py3-pygelbooru-1.0.0-r0.apk
20.44KB
2025-07-30 07:56:00
py3-pygelbooru-pyc-1.0.0-r0.apk
11.50KB
2025-07-30 07:56:00
py3-pyglet-2.1.5-r1.apk
889.91KB
2025-08-27 17:46:00
py3-pyglet-pyc-2.1.5-r1.apk
1.62MB
2025-08-27 17:46:00
py3-pyglm-2.7.3-r0.apk
1.37MB
2024-11-06 11:41:46
py3-pygpgme-0.3.1-r9.apk
34.39KB
2024-10-25 22:08:18
py3-pygpgme-pyc-0.3.1-r9.apk
5.06KB
2024-10-25 22:08:18
py3-pygtail-0.14.0-r3.apk
14.99KB
2024-10-25 22:08:18
py3-pygtail-pyc-0.14.0-r3.apk
10.09KB
2024-10-25 22:08:18
py3-pyinstaller-6.6.0-r0.apk
1.88MB
2024-10-25 22:08:18
py3-pyinstaller-pyc-6.6.0-r0.apk
502.25KB
2024-10-25 22:08:18
py3-pyinstrument-5.1.1-r0.apk
109.26KB
2025-08-13 08:17:04
py3-pyinstrument-pyc-5.1.1-r0.apk
100.50KB
2025-08-13 08:17:04
py3-pyisbn-1.3.1-r3.apk
20.45KB
2024-10-25 22:08:18
py3-pyisbn-pyc-1.3.1-r3.apk
9.41KB
2024-10-25 22:08:18
py3-pylru-1.2.1-r1.apk
16.57KB
2024-10-25 22:08:18
py3-pylru-pyc-1.2.1-r1.apk
8.95KB
2024-10-25 22:08:18
py3-pymaging-0.0.20130908-r10.apk
17.98KB
2024-10-25 22:08:18
py3-pymaging-png-0.0.20130727-r10.apk
35.02KB
2024-10-25 22:08:18
py3-pymaging-png-pyc-0.0.20130727-r10.apk
51.92KB
2024-10-25 22:08:18
py3-pymaging-pyc-0.0.20130908-r10.apk
31.67KB
2024-10-25 22:08:18
py3-pymata-2.20-r4.apk
22.50KB
2024-10-25 22:08:18
py3-pymata-pyc-2.20-r4.apk
29.40KB
2024-10-25 22:08:18
py3-pymata4-1.15-r4.apk
23.05KB
2024-10-25 22:08:18
py3-pymata4-pyc-1.15-r4.apk
30.82KB
2024-10-25 22:08:18
py3-pymeta3-0.5.1-r6.apk
16.74KB
2024-10-25 22:08:18
py3-pymeta3-pyc-0.5.1-r6.apk
31.55KB
2024-10-25 22:08:18
py3-pymsgbox-1.0.9-r5.apk
9.16KB
2024-10-25 22:08:18
py3-pymsgbox-pyc-1.0.9-r5.apk
9.84KB
2024-10-25 22:08:18
py3-pymsteams-0.2.5-r0.apk
12.35KB
2025-02-22 14:17:59
py3-pymsteams-pyc-0.2.5-r0.apk
6.54KB
2025-02-22 14:17:59
py3-pymupdf-1.26.4-r0.apk
315.43KB
2025-09-19 17:53:45
py3-pymupdf-pyc-1.26.4-r0.apk
500.19KB
2025-09-19 17:53:45
py3-pynest2d-5.2.2-r5.apk
224.13KB
2025-02-06 06:42:24
py3-pyparted-3.13.0-r1.apk
73.97KB
2024-10-25 22:08:18
py3-pyparted-pyc-3.13.0-r1.apk
42.17KB
2024-10-25 22:08:18
py3-pypubsub-4.0.3-r0.apk
52.39KB
2024-10-25 22:08:18
py3-pypubsub-doc-4.0.3-r0.apk
2.14KB
2024-10-25 22:08:18
py3-pypubsub-pyc-4.0.3-r0.apk
89.91KB
2024-10-25 22:08:18
py3-pyqrcode-1.2.1-r0.apk
36.56KB
2024-10-25 22:08:18
py3-pyqrcode-doc-1.2.1-r0.apk
4.30KB
2024-10-25 22:08:18
py3-pyqrcode-pyc-1.2.1-r0.apk
47.27KB
2024-10-25 22:08:18
py3-pyrebase-3.0.27-r5.apk
9.62KB
2024-10-25 22:08:18
py3-pyrebase-pyc-3.0.27-r5.apk
17.56KB
2024-10-25 22:08:18
py3-pyroma-4.2-r0.apk
21.87KB
2024-10-25 22:08:18
py3-pyroma-pyc-4.2-r0.apk
25.95KB
2024-10-25 22:08:18
py3-pyscreeze-0.1.29-r3.apk
14.11KB
2024-10-25 22:08:18
py3-pyscreeze-pyc-0.1.29-r3.apk
14.19KB
2024-10-25 22:08:18
py3-pysimplesoap-1.16.2-r7.apk
43.67KB
2024-10-25 22:08:18
py3-pysimplesoap-pyc-1.16.2-r7.apk
78.17KB
2024-10-25 22:08:18
py3-pysonic-1.0.3-r0.apk
34.75KB
2025-04-17 01:35:18
py3-pysonic-pyc-1.0.3-r0.apk
32.23KB
2025-04-17 01:35:18
py3-pyspinel-1.0.3-r1.apk
56.01KB
2024-10-25 22:08:18
py3-pyspinel-pyc-1.0.3-r1.apk
63.04KB
2024-10-25 22:08:18
py3-pysrt-1.1.2-r5.apk
25.48KB
2025-05-14 21:17:54
py3-pysrt-pyc-1.1.2-r5.apk
22.75KB
2025-05-14 21:17:54
py3-pystache-0.6.5-r1.apk
68.11KB
2024-10-25 22:08:18
py3-pystache-pyc-0.6.5-r1.apk
96.67KB
2024-10-25 22:08:18
py3-pysubs2-1.8.0-r0.apk
35.93KB
2024-12-26 00:09:47
py3-pysubs2-pyc-1.8.0-r0.apk
67.71KB
2024-12-26 00:09:47
py3-pytaglib-3.0.0-r0.apk
37.07KB
2025-01-26 21:29:30
py3-pytaglib-pyc-3.0.0-r0.apk
2.87KB
2025-01-26 21:29:30
py3-pytap2-2.3.0-r0.apk
6.97KB
2024-10-25 22:08:18
py3-pytap2-doc-2.3.0-r0.apk
2.79KB
2024-10-25 22:08:18
py3-pytap2-pyc-2.3.0-r0.apk
5.99KB
2024-10-25 22:08:18
py3-pyte-0.8.2-r3.apk
29.91KB
2025-05-13 00:20:41
py3-pyte-pyc-0.8.2-r3.apk
39.18KB
2025-05-13 00:20:41
py3-pytest-datadir-1.8.0-r0.apk
7.09KB
2025-08-08 19:10:51
py3-pytest-datadir-pyc-1.8.0-r0.apk
5.39KB
2025-08-08 19:10:51
py3-pytest-expect-1.1.0-r10.apk
5.90KB
2024-10-25 22:08:18
py3-pytest-expect-pyc-1.1.0-r10.apk
6.96KB
2024-10-25 22:08:18
py3-pytest-home-0.6.0-r0.apk
4.53KB
2024-10-25 22:08:18
py3-pytest-home-pyc-0.6.0-r0.apk
2.80KB
2024-10-25 22:08:18
py3-pytest-html-4.1.1-r1.apk
21.62KB
2024-10-25 22:08:18
py3-pytest-html-pyc-4.1.1-r1.apk
21.75KB
2024-10-25 22:08:18
py3-pytest-metadata-3.1.1-r0.apk
10.20KB
2024-10-25 22:08:18
py3-pytest-metadata-pyc-3.1.1-r0.apk
7.90KB
2024-10-25 22:08:18
py3-pytest-regtest-2.3.2-r1.apk
15.82KB
2025-02-22 14:17:59
py3-pytest-regtest-pyc-2.3.2-r1.apk
30.05KB
2025-02-22 14:17:59
py3-pytest-subprocess-1.5.2-r0.apk
19.50KB
2024-10-25 22:08:18
py3-pytest-subprocess-pyc-1.5.2-r0.apk
24.51KB
2024-10-25 22:08:18
py3-pytest-textual-snapshot-1.1.0-r0.apk
9.18KB
2025-08-08 19:10:51
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
10.52KB
2025-08-08 19:10:51
py3-python-archive-0.2-r7.apk
7.40KB
2024-10-25 22:08:18
py3-python-archive-pyc-0.2-r7.apk
9.35KB
2024-10-25 22:08:18
py3-python-iptables-1.0.1-r1.apk
38.45KB
2024-10-25 22:08:18
py3-python-iptables-pyc-1.0.1-r1.apk
67.90KB
2024-10-25 22:08:18
py3-python-jwt-4.1.0-r2.apk
8.07KB
2025-05-16 00:22:45
py3-python-jwt-pyc-4.1.0-r2.apk
6.47KB
2025-05-16 00:22:45
py3-python-logstash-0.4.8-r4.apk
8.60KB
2024-10-25 22:08:18
py3-python-logstash-doc-0.4.8-r4.apk
2.26KB
2024-10-25 22:08:18
py3-python-logstash-pyc-0.4.8-r4.apk
8.42KB
2024-10-25 22:08:18
py3-python-stdnum-1.20-r0.apk
805.71KB
2024-10-25 22:08:18
py3-python-stdnum-pyc-1.20-r0.apk
293.50KB
2024-10-25 22:08:18
py3-pyvcd-0.4.1-r0.apk
22.67KB
2024-11-11 23:46:17
py3-pyvcd-pyc-0.4.1-r0.apk
40.45KB
2024-11-11 23:46:17
py3-pyzor-1.1.2-r0.apk
40.33KB
2025-08-14 09:26:38
py3-pyzor-pyc-1.1.2-r0.apk
53.97KB
2025-08-14 09:26:38
py3-qasync-0.19.0-r2.apk
36.87KB
2024-10-25 22:08:18
py3-qgis-3.40.9-r0.apk
20.20MB
2025-07-24 16:42:51
py3-qpageview-0.6.2-r1.apk
98.05KB
2024-10-25 22:08:19
py3-qpageview-doc-0.6.2-r1.apk
56.29KB
2024-10-25 22:08:19
py3-qpageview-pyc-0.6.2-r1.apk
180.22KB
2024-10-25 22:08:19
py3-qt.py-1.3.10-r1.apk
32.69KB
2024-10-25 22:08:19
py3-qt.py-pyc-1.3.10-r1.apk
24.69KB
2024-10-25 22:08:19
py3-quart-0.20.0-r0.apk
68.41KB
2025-07-24 16:42:51
py3-quart-pyc-0.20.0-r0.apk
147.02KB
2025-07-24 16:42:51
py3-quebra-frases-0.3.7-r1.apk
8.81KB
2024-10-25 22:08:19
py3-quebra-frases-pyc-0.3.7-r1.apk
7.81KB
2024-10-25 22:08:19
py3-queuelib-1.8.0-r0.apk
12.41KB
2025-09-01 21:13:45
py3-queuelib-pyc-1.8.0-r0.apk
24.48KB
2025-09-01 21:13:45
py3-rabbit-1.1.0-r8.apk
10.94KB
2024-10-25 22:08:19
py3-rabbit-pyc-1.1.0-r8.apk
15.49KB
2024-10-25 22:08:19
py3-radon-6.0.1-r2.apk
31.52KB
2024-10-25 22:08:19
py3-radon-doc-6.0.1-r2.apk
5.14KB
2024-10-25 22:08:19
py3-radon-pyc-6.0.1-r2.apk
49.56KB
2024-10-25 22:08:19
py3-recommonmark-0.7.1-r4.apk
11.74KB
2024-10-25 22:08:19
py3-recommonmark-pyc-0.7.1-r4.apk
17.50KB
2024-10-25 22:08:19
py3-recurring-ical-events-3.8.0-r0.apk
38.88KB
2025-06-15 07:00:11
py3-recurring-ical-events-pyc-3.8.0-r0.apk
49.88KB
2025-06-15 07:00:11
py3-redmine-2.5.0-r0.apk
36.78KB
2024-10-25 22:08:19
py3-redmine-pyc-2.5.0-r0.apk
53.59KB
2024-10-25 22:08:19
py3-remind-0.19.2-r0.apk
24.43KB
2025-04-23 00:24:52
py3-remind-pyc-0.19.2-r0.apk
22.52KB
2025-04-23 00:24:52
py3-requests-cache-1.2.1-r1.apk
49.93KB
2024-11-20 04:43:40
py3-requests-cache-pyc-1.2.1-r1.apk
94.21KB
2024-11-20 04:43:40
py3-requests-kerberos-0.15.0-r0.apk
12.10KB
2024-12-01 19:24:15
py3-requests-kerberos-pyc-0.15.0-r0.apk
10.93KB
2024-12-01 19:24:15
py3-requests-pkcs12-1.27-r0.apk
6.53KB
2025-09-16 07:50:29
py3-requests-pkcs12-pyc-1.27-r0.apk
8.09KB
2025-09-16 07:50:29
py3-requests-wsgi-adapter-0.4.1-r1.apk
5.51KB
2024-10-25 22:08:19
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
6.60KB
2024-10-25 22:08:19
py3-rfc-bibtex-0.3.2-r7.apk
12.87KB
2024-10-25 22:08:19
py3-rfc-bibtex-pyc-0.3.2-r7.apk
12.44KB
2024-10-25 22:08:19
py3-rich-click-1.7.3-r1.apk
30.73KB
2024-10-25 22:08:19
py3-rich-click-pyc-1.7.3-r1.apk
40.33KB
2024-10-25 22:08:19
py3-riotctrl-0.5.0-r4.apk
13.04KB
2024-10-25 22:08:19
py3-riotctrl-pyc-0.5.0-r4.apk
10.71KB
2024-10-25 22:08:19
py3-rns-1.0.0-r0.apk
344.20KB
2025-09-23 23:48:58
py3-rns-pyc-1.0.0-r0.apk
704.54KB
2025-09-23 23:48:58
py3-rofi-1.0.1-r1.apk
12.16KB
2025-08-09 03:22:05
py3-rofi-pyc-1.0.1-r1.apk
11.75KB
2025-08-09 03:22:05
py3-rosdistro-0.9.0-r3.apk
47.07KB
2024-10-25 22:08:19
py3-rosdistro-pyc-0.9.0-r3.apk
91.26KB
2024-10-25 22:08:19
py3-rospkg-1.2.9-r5.apk
28.80KB
2024-10-25 22:08:19
py3-rospkg-pyc-1.2.9-r5.apk
54.13KB
2024-10-25 22:08:19
py3-rpio-0.10.1-r8.apk
34.95KB
2024-10-25 22:08:19
py3-rpio-pyc-0.10.1-r8.apk
15.95KB
2024-10-25 22:08:19
py3-rst-0.1-r9.apk
5.56KB
2024-10-25 22:08:19
py3-rst-pyc-0.1-r9.apk
6.11KB
2024-10-25 22:08:19
py3-rst.linker-2.6.0-r0.apk
6.09KB
2024-10-25 22:08:19
py3-rst.linker-pyc-2.6.0-r0.apk
6.64KB
2024-10-25 22:08:19
py3-rst2ansi-0.1.5-r0.apk
12.16KB
2024-10-25 22:08:19
py3-rst2ansi-doc-0.1.5-r0.apk
2.24KB
2024-10-25 22:08:19
py3-rst2ansi-pyc-0.1.5-r0.apk
23.97KB
2024-10-25 22:08:19
py3-rst2pdf-0.102-r0.apk
154.50KB
2025-06-10 22:48:27
py3-rst2pdf-pyc-0.102-r0.apk
205.80KB
2025-06-10 22:48:27
py3-rtree-1.4.1-r0.apk
26.44KB
2025-08-14 13:10:06
py3-rtree-pyc-1.4.1-r0.apk
48.11KB
2025-08-14 13:10:06
py3-schema-0.7.7-r0.apk
19.43KB
2025-07-07 19:07:59
py3-schema-pyc-0.7.7-r0.apk
20.52KB
2025-07-07 19:07:59
py3-scour-0.38.2-r1.apk
56.39KB
2024-10-25 22:08:19
py3-scour-pyc-0.38.2-r1.apk
73.77KB
2024-10-25 22:08:19
py3-scrapy-2.11.1-r1.apk
239.98KB
2024-10-25 22:08:19
py3-scrapy-pyc-2.11.1-r1.apk
482.01KB
2024-10-25 22:08:19
py3-scs-3.2.3-r4.apk
94.36KB
2024-10-25 22:08:19
py3-scs-pyc-3.2.3-r4.apk
4.92KB
2024-10-25 22:08:19
py3-senf-1.5.0-r0.apk
20.18KB
2025-06-27 05:58:51
py3-senf-pyc-1.5.0-r0.apk
32.50KB
2025-06-27 05:58:51
py3-seqdiag-3.0.0-r5.apk
2.46MB
2024-10-25 22:08:19
py3-seqdiag-pyc-3.0.0-r5.apk
42.24KB
2024-10-25 22:08:19
py3-setuptools-lint-0.6.0-r9.apk
5.42KB
2024-10-25 22:08:19
py3-setuptools-lint-pyc-0.6.0-r9.apk
6.00KB
2024-10-25 22:08:19
py3-sh-2.1.0-r0.apk
37.76KB
2024-11-01 17:13:50
py3-sh-pyc-2.1.0-r0.apk
54.85KB
2024-11-01 17:13:50
py3-shodan-1.31.0-r1.apk
44.09KB
2024-10-25 22:08:19
py3-shodan-doc-1.31.0-r1.apk
7.20KB
2024-10-25 22:08:19
py3-shodan-pyc-1.31.0-r1.apk
79.15KB
2024-10-25 22:08:19
py3-simber-0.2.6-r5.apk
11.88KB
2025-05-14 21:17:54
py3-simber-pyc-0.2.6-r5.apk
16.20KB
2025-05-14 21:17:54
py3-simple-websocket-1.1.0-r0.apk
10.87KB
2025-09-09 16:17:34
py3-simple-websocket-doc-1.1.0-r0.apk
2.29KB
2025-09-09 16:17:34
py3-simple-websocket-pyc-1.1.0-r0.apk
22.75KB
2025-09-09 16:17:34
py3-simpleeval-1.0.3-r0.apk
15.62KB
2025-06-24 22:38:26
py3-simpleeval-pyc-1.0.3-r0.apk
16.47KB
2025-06-24 22:38:26
py3-simplematch-1.4-r1.apk
8.00KB
2024-10-25 22:08:19
py3-simplematch-pyc-1.4-r1.apk
5.80KB
2024-10-25 22:08:19
py3-simplesat-0.8.2-r0.apk
214.03KB
2024-10-25 22:08:19
py3-simplesat-pyc-0.8.2-r0.apk
156.57KB
2024-10-25 22:08:19
py3-simplesoapy-1.5.1-r7.apk
7.86KB
2024-10-25 22:08:19
py3-simplesoapy-pyc-1.5.1-r7.apk
11.77KB
2024-10-25 22:08:19
py3-simplespectral-1.0.0-r5.apk
7.50KB
2024-10-25 22:08:19
py3-simplespectral-pyc-1.0.0-r5.apk
8.08KB
2024-10-25 22:08:19
py3-slidge-style-parser-0.1.9-r0.apk
193.00KB
2025-04-12 15:23:08
py3-slidge-style-parser-pyc-0.1.9-r0.apk
1.97KB
2025-04-12 15:23:08
py3-slixmpp-1.8.5-r2.apk
380.67KB
2024-10-25 22:08:19
py3-slixmpp-doc-1.8.5-r2.apk
5.81KB
2024-10-25 22:08:19
py3-slixmpp-pyc-1.8.5-r2.apk
729.48KB
2024-10-25 22:08:19
py3-snapshottest-0.6.0-r5.apk
15.12KB
2024-10-25 22:08:19
py3-snapshottest-pyc-0.6.0-r5.apk
25.73KB
2024-10-25 22:08:19
py3-soappy-0.52.30-r0.apk
47.26KB
2024-12-03 00:54:51
py3-soappy-pyc-0.52.30-r0.apk
95.28KB
2024-12-03 00:54:51
py3-soapy_power-1.6.1-r5.apk
17.49KB
2024-10-25 22:08:19
py3-soapy_power-pyc-1.6.1-r5.apk
26.52KB
2024-10-25 22:08:19
py3-socketio-5.13.0-r0.apk
62.22KB
2025-09-09 16:17:34
py3-socketio-doc-5.13.0-r0.apk
36.01KB
2025-09-09 16:17:34
py3-socketio-pyc-5.13.0-r0.apk
126.73KB
2025-09-09 16:17:34
py3-sortedcollections-2.1.0-r5.apk
10.66KB
2024-10-25 22:08:19
py3-sortedcollections-pyc-2.1.0-r5.apk
13.87KB
2024-10-25 22:08:19
py3-spake2-0.9-r0.apk
30.14KB
2024-10-25 22:08:19
py3-spake2-pyc-0.9-r0.apk
43.51KB
2024-10-25 22:08:19
py3-sphinx-argparse-0.5.2-r0.apk
14.18KB
2024-10-25 22:08:19
py3-sphinx-argparse-pyc-0.5.2-r0.apk
22.12KB
2024-10-25 22:08:19
py3-sphinx-autoapi-3.6.0-r0.apk
31.24KB
2025-02-22 14:17:59
py3-sphinx-autoapi-pyc-3.6.0-r0.apk
58.85KB
2025-02-22 14:17:59
py3-sphinx-theme-better-0.1.5-r7.apk
10.52KB
2024-10-25 22:08:19
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
1.98KB
2024-10-25 22:08:19
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
1.16MB
2024-10-25 22:08:19
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2.35KB
2024-10-25 22:08:19
py3-sphinx-theme-bw-0.1.8-r7.apk
64.76KB
2024-10-25 22:08:19
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
1.84KB
2024-10-25 22:08:19
py3-sphinx-theme-cloud-1.10.0-r2.apk
81.30KB
2024-10-25 22:08:19
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
42.77KB
2024-10-25 22:08:19
py3-sphinx-theme-epfl-1.1.1-r9.apk
29.85KB
2024-10-25 22:08:19
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2.47KB
2024-10-25 22:08:19
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2.45MB
2024-10-25 22:08:19
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
5.51KB
2024-10-25 22:08:19
py3-sphinx-theme-readable-1.3.0-r9.apk
8.82KB
2024-10-25 22:08:19
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2.21KB
2024-10-25 22:08:19
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
7.63KB
2024-10-25 22:08:19
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
9.28KB
2024-10-25 22:08:19
py3-sphinxcontrib-adadomain-0.2-r9.apk
9.01KB
2024-10-25 22:08:19
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
11.98KB
2024-10-25 22:08:19
py3-sphinxcontrib-bitbucket-1.0-r8.apk
5.67KB
2024-10-25 22:08:19
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
4.09KB
2024-10-25 22:08:19
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
7.54KB
2024-10-25 22:08:19
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
9.15KB
2024-10-25 22:08:19
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
5.73KB
2024-10-25 22:08:19
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
4.25KB
2024-10-25 22:08:19
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
17.93KB
2024-10-25 22:08:19
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
33.50KB
2024-10-25 22:08:19
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
9.12KB
2024-10-25 22:08:19
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
3.48KB
2024-10-25 22:08:19
py3-sphinxcontrib-gist-0.1.0-r9.apk
3.91KB
2024-10-25 22:08:19
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
3.33KB
2024-10-25 22:08:19
py3-sphinxcontrib-git-11.0.0-r7.apk
17.13KB
2024-10-25 22:08:19
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
6.62KB
2024-10-25 22:08:19
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
7.87KB
2024-10-25 22:08:19
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
7.62KB
2024-10-25 22:08:19
py3-sphinxcontrib-htsql-0.1.5-r8.apk
10.55KB
2024-10-25 22:08:19
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
15.03KB
2024-10-25 22:08:19
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
18.10KB
2024-10-25 22:08:19
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
4.34KB
2024-10-25 22:08:19
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
33.89KB
2024-10-25 22:08:19
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
21.37KB
2024-10-25 22:08:19
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
43.84KB
2024-10-25 22:08:19
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
10.54KB
2024-10-25 22:08:19
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
15.08KB
2024-10-25 22:08:19
py3-sphinxcontrib-issuetracker-0.11-r7.apk
11.10KB
2024-10-25 22:08:19
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
11.95KB
2024-10-25 22:08:19
py3-sphinxcontrib-lassodomain-0.4-r8.apk
7.92KB
2024-10-25 22:08:19
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
10.60KB
2024-10-25 22:08:19
py3-sphinxcontrib-manpage-0.6-r8.apk
4.14KB
2024-10-25 22:08:19
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
3.15KB
2024-10-25 22:08:19
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
10.26KB
2025-05-11 14:57:52
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
16.03KB
2025-05-11 14:57:52
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
8.72KB
2024-10-25 22:08:19
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
11.19KB
2024-10-25 22:08:19
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
11.34KB
2025-07-15 13:28:49
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
17.20KB
2025-07-15 13:28:49
py3-sphinxcontrib-programoutput-0.17-r5.apk
16.47KB
2024-10-25 22:08:19
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
23.85KB
2024-10-25 22:08:19
py3-sphinxcontrib-restbuilder-0.3-r6.apk
11.32KB
2024-10-25 22:08:19
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
20.50KB
2024-10-25 22:08:19
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
7.65KB
2024-10-25 22:08:19
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
9.30KB
2024-10-25 22:08:19
py3-sphinxcontrib-slide-1.0.0-r4.apk
4.88KB
2025-05-13 00:20:41
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
5.57KB
2025-05-13 00:20:41
py3-sphinxcontrib-spelling-8.0.1-r0.apk
13.19KB
2025-07-15 13:28:49
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
18.93KB
2025-07-15 13:28:49
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
7.34KB
2024-10-25 22:08:19
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
4.93KB
2024-10-25 22:08:19
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
6.11KB
2024-10-25 22:08:19
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
5.65KB
2024-10-25 22:08:19
py3-spidev-3.6-r1.apk
13.04KB
2024-10-25 22:08:19
py3-spin-0.8-r0.apk
18.52KB
2024-10-25 22:08:19
py3-spin-pyc-0.8-r0.apk
24.49KB
2024-10-25 22:08:19
py3-spinners-0.0.24-r5.apk
6.09KB
2024-10-25 22:08:19
py3-spinners-pyc-0.0.24-r5.apk
6.25KB
2024-10-25 22:08:19
py3-spnego-0.11.2-r0.apk
117.91KB
2025-01-16 09:51:54
py3-spnego-pyc-0.11.2-r0.apk
219.07KB
2025-01-16 09:51:54
py3-spotipy-2.24.0-r2.apk
29.62KB
2025-05-14 21:17:54
py3-spotipy-pyc-2.24.0-r2.apk
49.52KB
2025-05-14 21:17:54
py3-sqlmodel-0.0.22-r1.apk
26.32KB
2024-12-07 01:03:42
py3-sqlmodel-pyc-0.0.22-r1.apk
41.18KB
2024-12-07 01:03:42
py3-sssd-2.11.1-r1.apk
54.58KB
2025-08-09 03:22:05
py3-sssd-pyc-2.11.1-r1.apk
48.64KB
2025-08-09 03:22:05
py3-sstash-0.17-r9.apk
7.73KB
2024-10-25 22:08:19
py3-sstash-pyc-0.17-r9.apk
10.29KB
2024-10-25 22:08:19
py3-svglib-1.5.1-r0.apk
29.90KB
2025-06-10 22:48:27
py3-svglib-doc-1.5.1-r0.apk
2.08KB
2025-06-10 22:48:27
py3-svglib-pyc-1.5.1-r0.apk
42.41KB
2025-06-10 22:48:27
py3-svgpath-7.0-r0.apk
18.60KB
2025-07-08 09:16:33
py3-svgpath-pyc-7.0-r0.apk
23.82KB
2025-07-08 09:16:33
py3-swagger-ui-bundle-1.1.0-r1.apk
2.48MB
2024-10-25 22:08:19
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2.11KB
2024-10-25 22:08:19
py3-synapse-auto-accept-invite-1.2.0-r1.apk
9.76KB
2025-08-09 03:22:05
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
5.62KB
2025-08-09 03:22:05
py3-tailer-0.4.1-r7.apk
6.87KB
2024-10-25 22:08:19
py3-tailer-pyc-0.4.1-r7.apk
6.63KB
2024-10-25 22:08:19
py3-tasklib-2.5.1-r2.apk
23.10KB
2024-10-25 22:08:19
py3-tasklib-pyc-2.5.1-r2.apk
51.53KB
2024-10-25 22:08:19
py3-telegram-bot-22.3-r0.apk
487.08KB
2025-08-13 18:30:06
py3-telegram-bot-pyc-22.3-r0.apk
781.47KB
2025-08-13 18:30:06
py3-telegram-text-0.2.0-r1.apk
9.19KB
2024-10-25 22:08:19
py3-telegram-text-pyc-0.2.0-r1.apk
12.96KB
2024-10-25 22:08:19
py3-telemetrix-1.20-r3.apk
20.94KB
2024-10-25 22:08:19
py3-telemetrix-pyc-1.20-r3.apk
31.04KB
2024-10-25 22:08:19
py3-teletype-1.3.4-r3.apk
15.41KB
2024-10-25 22:08:19
py3-teletype-pyc-1.3.4-r3.apk
20.53KB
2024-10-25 22:08:19
py3-testresources-2.0.1-r6.apk
17.35KB
2024-10-25 22:08:19
py3-testresources-pyc-2.0.1-r6.apk
15.95KB
2024-10-25 22:08:19
py3-textual-3.2.0-r0.apk
596.29KB
2025-05-14 12:43:02
py3-textual-pyc-3.2.0-r0.apk
1.16MB
2025-05-14 12:43:02
py3-thefuzz-0.22.1-r1.apk
10.02KB
2024-10-25 22:08:19
py3-thefuzz-pyc-0.22.1-r1.apk
8.95KB
2024-10-25 22:08:19
py3-ticket-auth-0.1.4-r9.apk
5.95KB
2024-10-25 22:08:19
py3-ticket-auth-pyc-0.1.4-r9.apk
6.38KB
2024-10-25 22:08:19
py3-tidalapi-0.8.4-r0.apk
50.12KB
2025-07-15 13:28:49
py3-tidalapi-pyc-0.8.4-r0.apk
90.71KB
2025-07-15 13:28:49
py3-timeago-1.0.16-r0.apk
23.60KB
2024-10-25 22:08:19
py3-timeago-doc-1.0.16-r0.apk
2.85KB
2024-10-25 22:08:19
py3-timeago-pyc-1.0.16-r0.apk
27.66KB
2024-10-25 22:08:19
py3-tls_parser-2.0.2-r0.apk
10.30KB
2025-07-15 13:28:49
py3-tls_parser-pyc-2.0.2-r0.apk
17.16KB
2025-07-15 13:28:49
py3-tlslite-ng-0.7.6-r8.apk
178.50KB
2024-10-25 22:08:19
py3-tlslite-ng-pyc-0.7.6-r8.apk
274.77KB
2024-10-25 22:08:19
py3-tokenizers-0.21.2-r0.apk
1.51MB
2025-07-01 21:10:43
py3-tokenizers-pyc-0.21.2-r0.apk
28.87KB
2025-07-01 21:10:43
py3-tpm2-pytss-2.3.0-r1.apk
280.10KB
2024-10-25 22:08:19
py3-tpm2-pytss-pyc-2.3.0-r1.apk
236.10KB
2024-10-25 22:08:19
py3-transitions-0.9.2-r0.apk
97.73KB
2024-10-25 22:08:19
py3-transitions-pyc-0.9.2-r0.apk
128.79KB
2024-10-25 22:08:19
py3-translationstring-1.4-r4.apk
9.15KB
2024-10-25 22:08:19
py3-translationstring-pyc-1.4-r4.apk
8.77KB
2024-10-25 22:08:19
py3-trivup-0.12.2-r2.apk
34.02KB
2024-10-25 22:08:19
py3-trivup-pyc-0.12.2-r2.apk
54.91KB
2024-10-25 22:08:19
py3-truststore-0.10.1-r0.apk
17.49KB
2025-02-10 05:38:42
py3-truststore-pyc-0.10.1-r0.apk
25.50KB
2025-02-10 05:38:42
py3-twiggy-0.5.1-r4.apk
24.13KB
2024-10-25 22:08:19
py3-twiggy-pyc-0.5.1-r4.apk
38.68KB
2024-10-25 22:08:19
py3-typing_inspect-0.9.0-r2.apk
9.92KB
2024-10-25 22:08:19
py3-typing_inspect-pyc-0.9.0-r2.apk
14.23KB
2024-10-25 22:08:19
py3-u-msgpack-2.8.0-r2.apk
10.91KB
2024-10-25 22:08:19
py3-u-msgpack-pyc-2.8.0-r2.apk
16.23KB
2024-10-25 22:08:19
py3-uacme-desec-1.2.1-r0.apk
5.70KB
2024-10-25 22:08:19
py3-uacme-desec-doc-1.2.1-r0.apk
2.19KB
2024-10-25 22:08:19
py3-uacme-desec-pyc-1.2.1-r0.apk
6.77KB
2024-10-25 22:08:19
py3-uc-micro-py-1.0.2-r1.apk
9.07KB
2024-10-25 22:08:19
py3-unearth-0.17.5-r0.apk
40.36KB
2025-04-11 21:19:12
py3-unearth-pyc-0.17.5-r0.apk
81.57KB
2025-04-11 21:19:12
py3-unicorn-hat-2.1.2-r6.apk
15.63KB
2024-11-06 11:41:46
py3-unicrypto-0.0.11-r0.apk
58.91KB
2025-08-19 23:16:20
py3-unicrypto-pyc-0.0.11-r0.apk
92.20KB
2025-08-19 23:16:20
py3-unidns-0.0.3-r0.apk
14.24KB
2025-09-16 07:50:29
py3-unidns-examples-0.0.3-r0.apk
2.60KB
2025-09-16 07:50:29
py3-unidns-pyc-0.0.3-r0.apk
24.55KB
2025-09-16 07:50:29
py3-unoconv-0.9.0-r4.apk
25.91KB
2025-05-13 00:20:41
py3-uptime-3.0.1-r9.apk
9.63KB
2024-10-25 22:08:19
py3-uptime-pyc-3.0.1-r9.apk
8.70KB
2024-10-25 22:08:19
py3-urlobject-2.4.3-r9.apk
14.76KB
2024-10-25 22:08:19
py3-urlobject-pyc-2.4.3-r9.apk
24.68KB
2024-10-25 22:08:19
py3-us-3.2.0-r0.apk
14.31KB
2024-10-25 22:08:19
py3-us-pyc-3.2.0-r0.apk
15.19KB
2024-10-25 22:08:19
py3-utc-0.0.3-r9.apk
3.47KB
2024-10-25 22:08:19
py3-utc-pyc-0.0.3-r9.apk
2.76KB
2024-10-25 22:08:19
py3-vatnumber-1.2-r9.apk
18.62KB
2024-10-25 22:08:19
py3-vatnumber-pyc-1.2-r9.apk
8.62KB
2024-10-25 22:08:19
py3-vdf-3.4-r1.apk
11.32KB
2024-10-25 22:08:19
py3-vdf-pyc-3.4-r1.apk
16.56KB
2024-10-25 22:08:19
py3-venusian-3.1.1-r0.apk
14.35KB
2024-12-07 23:14:24
py3-venusian-pyc-3.1.1-r0.apk
12.50KB
2024-12-07 23:14:24
py3-virtualenvwrapper-6.1.1-r0.apk
21.63KB
2025-09-01 03:26:30
py3-virtualenvwrapper-pyc-6.1.1-r0.apk
11.77KB
2025-09-01 03:26:30
py3-visitor-0.1.3-r7.apk
4.62KB
2024-10-25 22:08:19
py3-visitor-pyc-0.1.3-r7.apk
2.62KB
2024-10-25 22:08:19
py3-ward-0.67.0_beta0-r2.apk
40.71KB
2024-10-25 22:08:19
py3-ward-pyc-0.67.0_beta0-r2.apk
79.55KB
2024-10-25 22:08:19
py3-wbdata-1.0.0-r1.apk
18.49KB
2024-10-25 22:08:19
py3-wbdata-pyc-1.0.0-r1.apk
19.58KB
2024-10-25 22:08:19
py3-wg-netns-2.3.1-r1.apk
7.57KB
2024-10-25 22:08:19
py3-wg-netns-pyc-2.3.1-r1.apk
13.39KB
2024-10-25 22:08:19
py3-wgconfig-1.1.0-r0.apk
21.96KB
2025-01-29 08:49:27
py3-wgconfig-pyc-1.1.0-r0.apk
11.70KB
2025-01-29 08:49:27
py3-wifi-0.3.8-r7.apk
13.01KB
2024-10-25 22:08:19
py3-wifi-pyc-0.3.8-r7.apk
13.74KB
2024-10-25 22:08:19
py3-wikipedia-1.4.0-r0.apk
12.21KB
2025-09-14 09:32:01
py3-wikipedia-doc-1.4.0-r0.apk
4.14KB
2025-09-14 09:32:01
py3-wikipedia-pyc-1.4.0-r0.apk
16.21KB
2025-09-14 09:32:01
py3-winacl-0.1.9-r1.apk
82.68KB
2025-05-29 14:58:14
py3-winacl-pyc-0.1.9-r1.apk
131.24KB
2025-05-29 14:58:14
py3-wsgiprox-1.5.2-r1.apk
16.68KB
2024-10-25 22:08:19
py3-wsgiprox-pyc-1.5.2-r1.apk
28.17KB
2024-10-25 22:08:19
py3-wstools-0.4.10-r7.apk
53.20KB
2024-10-25 22:08:19
py3-wstools-pyc-0.4.10-r7.apk
110.75KB
2024-10-25 22:08:19
py3-wtf-peewee-3.0.6-r0.apk
12.71KB
2024-10-25 22:08:19
py3-wtf-peewee-pyc-3.0.6-r0.apk
24.66KB
2024-10-25 22:08:19
py3-x-wr-timezone-2.0.1-r0.apk
11.65KB
2025-02-09 17:13:51
py3-x-wr-timezone-pyc-2.0.1-r0.apk
7.10KB
2025-02-09 17:13:51
py3-xapp-2.4.2-r0.apk
33.61KB
2024-11-12 13:04:05
py3-xdoctest-1.2.0-r0.apk
312.50KB
2024-11-21 15:31:24
py3-xlwt-1.3.0-r10.apk
93.68KB
2025-05-13 00:20:41
py3-xlwt-pyc-1.3.0-r10.apk
164.89KB
2025-05-13 00:20:41
py3-xsdata-25.7-r0.apk
189.68KB
2025-07-07 23:47:50
py3-xsdata-pyc-25.7-r0.apk
392.70KB
2025-07-07 23:47:50
py3-yapsy-1.12.2-r7.apk
32.24KB
2024-10-25 22:08:19
py3-yapsy-pyc-1.12.2-r7.apk
47.14KB
2024-10-25 22:08:19
py3-yara-4.5.4-r0.apk
16.58KB
2025-08-13 18:32:36
py3-yosys-0.42-r1.apk
1.82KB
2025-06-12 15:11:56
py3-youtube-search-1.6.6-r5.apk
78.07KB
2025-05-14 21:17:54
py3-youtube-search-pyc-1.6.6-r5.apk
95.30KB
2025-05-14 21:17:54
py3-zimscraperlib-3.4.0-r0.apk
51.61KB
2024-11-06 11:41:46
py3-zimscraperlib-pyc-3.4.0-r0.apk
68.37KB
2024-11-06 11:41:46
py3-zipfile2-0.0.12-r0.apk
45.15KB
2024-10-25 22:08:19
py3-zipfile2-pyc-0.0.12-r0.apk
28.93KB
2024-10-25 22:08:19
py3-zope-configuration-5.0.1-r2.apk
39.14KB
2024-10-25 22:08:19
py3-zope-configuration-pyc-5.0.1-r2.apk
48.52KB
2024-10-25 22:08:19
py3-zope-i18nmessageid-6.1.0-r2.apk
15.20KB
2024-10-25 22:08:19
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
7.99KB
2024-10-25 22:08:19
py3-zope-schema-7.0.1-r3.apk
45.26KB
2024-10-25 22:08:19
py3-zope-schema-pyc-7.0.1-r3.apk
61.07KB
2024-10-25 22:08:19
pyinfra-3.3.1-r0.apk
191.98KB
2025-07-16 11:06:41
pyinfra-pyc-3.3.1-r0.apk
361.03KB
2025-07-16 11:06:41
pympress-1.8.5-r1.apk
181.17KB
2024-10-25 22:08:19
pympress-doc-1.8.5-r1.apk
348.42KB
2024-10-25 22:08:19
pympress-lang-1.8.5-r1.apk
56.29KB
2024-10-25 22:08:19
pympress-pyc-1.8.5-r1.apk
182.20KB
2024-10-25 22:08:19
pyonji-0.1.0-r9.apk
2.87MB
2025-09-09 16:17:34
pypykatz-0.6.11-r1.apk
315.39KB
2025-05-29 14:58:14
pypykatz-pyc-0.6.11-r1.apk
721.13KB
2025-05-29 14:58:14
pyradio-0.9.3.11-r0.apk
870.80KB
2024-10-25 22:08:19
pyradio-doc-0.9.3.11-r0.apk
112.65KB
2024-10-25 22:08:19
pyradio-pyc-0.9.3.11-r0.apk
810.33KB
2024-10-25 22:08:19
qadwaitadecorations-0.1.6-r2.apk
41.25KB
2025-09-12 20:12:54
qbittorrent-cli-2.2.0-r3.apk
5.65MB
2025-09-09 16:17:34
qdjango-0.6.2-r1.apk
88.29KB
2024-10-25 22:08:19
qdjango-dev-0.6.2-r1.apk
14.06KB
2024-10-25 22:08:19
qflipper-1.3.3-r1.apk
410.76KB
2024-10-25 22:08:19
qflipper-gui-1.3.3-r1.apk
1.00MB
2024-10-25 22:08:19
qgis-3.40.9-r0.apk
44.16MB
2025-07-24 16:42:51
qgis-dev-3.40.9-r0.apk
3.50MB
2025-07-24 16:42:51
qgis-doc-3.40.9-r0.apk
3.04KB
2025-07-24 16:42:51
qgis-grass-3.40.9-r0.apk
1.30MB
2025-07-24 16:42:51
qgis-lang-3.40.9-r0.apk
33.03MB
2025-07-24 16:42:51
qgis-server-3.40.9-r0.apk
1.56MB
2025-07-24 16:42:51
qman-1.5.0-r0.apk
60.88KB
2025-08-13 06:46:26
qman-doc-1.5.0-r0.apk
9.53KB
2025-08-13 06:46:26
qml-box2d-0_git20180406-r0.apk
113.16KB
2024-10-25 22:08:21
qoi-0.0.0_git20230312-r0.apk
1.47KB
2024-10-25 22:08:21
qoi-dev-0.0.0_git20230312-r0.apk
6.84KB
2024-10-25 22:08:21
qoiconv-0.0.0_git20230312-r0.apk
25.20KB
2024-10-25 22:08:21
qownnotes-23.6.6-r0.apk
2.22MB
2024-10-25 22:08:21
qownnotes-lang-23.6.6-r0.apk
4.41MB
2024-10-25 22:08:21
qpdfview-0.5-r2.apk
965.12KB
2025-01-29 21:44:57
qpdfview-doc-0.5-r2.apk
4.24KB
2025-01-29 21:44:57
qperf-0.4.11-r2.apk
30.81KB
2025-05-13 00:20:41
qperf-doc-0.4.11-r2.apk
5.57KB
2025-05-13 00:20:41
qqc2-suru-style-0.20230206-r1.apk
169.86KB
2024-10-25 22:08:21
qspectrumanalyzer-2.2.0-r5.apk
53.84KB
2024-10-25 22:08:21
qspectrumanalyzer-pyc-2.2.0-r5.apk
62.29KB
2024-10-25 22:08:21
qsstv-9.5.8-r2.apk
826.18KB
2024-10-25 22:08:21
qstardict-2.0.2-r1.apk
429.78KB
2024-11-24 07:46:51
qstardict-doc-2.0.2-r1.apk
10.64KB
2024-11-24 07:46:51
qsynth-1.0.2-r0.apk
402.29KB
2024-10-25 22:08:21
qsynth-doc-1.0.2-r0.apk
4.36KB
2024-10-25 22:08:21
qt-wayland-shell-helpers-0.1.1-r3.apk
11.69KB
2024-10-25 22:08:21
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
3.94KB
2024-10-25 22:08:21
qt5ct-1.8-r0.apk
210.26KB
2024-10-25 22:08:21
qt5ct-dev-1.8-r0.apk
1.49KB
2024-10-25 22:08:21
qt6ct-0.9-r5.apk
181.90KB
2025-09-12 20:12:54
qtile-0.33.0-r0.apk
468.94KB
2025-09-19 17:53:45
qtile-pyc-0.33.0-r0.apk
857.94KB
2025-09-19 17:53:45
qtmir-0.7.2_git20250407-r2.apk
454.46KB
2025-09-19 17:53:45
qtmir-dev-0.7.2_git20250407-r2.apk
6.63KB
2025-09-19 17:53:45
qtox-1.17.6-r6.apk
5.00MB
2024-10-25 22:08:21
qtpass-1.4.0-r0.apk
408.66KB
2024-10-25 22:08:21
qtpass-doc-1.4.0-r0.apk
2.12KB
2024-10-25 22:08:21
quakespasm-0.96.3-r0.apk
426.30KB
2024-10-25 22:08:21
qucs-s-1.1.0-r1.apk
3.03MB
2024-10-25 22:08:21
qucs-s-doc-1.1.0-r1.apk
2.34KB
2024-10-25 22:08:21
qucs-s-lang-1.1.0-r1.apk
864.53KB
2024-10-25 22:08:21
queercat-1.0.0-r0.apk
7.70KB
2024-10-25 22:08:21
quodlibet-4.7.1-r0.apk
1.04MB
2025-09-19 17:53:45
quodlibet-bash-completion-4.7.1-r0.apk
4.50KB
2025-09-19 17:53:45
quodlibet-doc-4.7.1-r0.apk
8.66KB
2025-09-19 17:53:45
quodlibet-lang-4.7.1-r0.apk
1.42MB
2025-09-19 17:53:45
quodlibet-pyc-4.7.1-r0.apk
1.81MB
2025-09-19 17:53:45
quodlibet-zsh-completion-4.7.1-r0.apk
2.71KB
2025-09-19 17:53:45
ra-multiplex-0.2.5-r0.apk
904.85KB
2025-08-13 06:46:26
ra-multiplex-doc-0.2.5-r0.apk
2.45KB
2025-08-13 06:46:26
radarr-5.27.5.10198-r0.apk
26.69MB
2025-09-23 23:48:58
radarr-openrc-5.27.5.10198-r0.apk
2.04KB
2025-09-23 23:48:58
raku-base64-0.1.0-r1.apk
20.05KB
2025-08-29 10:42:31
raku-base64-doc-0.1.0-r1.apk
2.77KB
2025-08-29 10:42:31
raku-cbor-simple-0.1.4-r1.apk
174.04KB
2025-08-29 10:42:31
raku-cbor-simple-doc-0.1.4-r1.apk
6.95KB
2025-08-29 10:42:31
raku-clifford-7.0.1_git20250228-r1.apk
691.38KB
2025-08-29 10:42:31
raku-clifford-doc-7.0.1_git20250228-r1.apk
2.92KB
2025-08-29 10:42:31
raku-cro-core-0.8.10-r1.apk
780.63KB
2025-08-29 10:42:31
raku-cro-core-doc-0.8.10-r1.apk
2.37KB
2025-08-29 10:42:31
raku-crypt-random-0.4.1-r1.apk
110.08KB
2025-08-29 10:42:31
raku-crypt-random-doc-0.4.1-r1.apk
2.54KB
2025-08-29 10:42:31
raku-datetime-parse-0.9.3-r1.apk
48.42KB
2025-08-29 10:42:31
raku-datetime-parse-doc-0.9.3-r1.apk
2.96KB
2025-08-29 10:42:31
raku-digest-1.1.0-r1.apk
859.88KB
2025-08-29 10:42:31
raku-digest-doc-1.1.0-r1.apk
2.79KB
2025-08-29 10:42:31
raku-digest-hmac-1.0.6_git20231116-r1.apk
7.13KB
2025-08-29 10:42:31
raku-digest-hmac-doc-1.0.6_git20231116-r1.apk
3.30KB
2025-08-29 10:42:31
raku-digest-sha1-native-0.06-r1.apk
46.57KB
2025-08-29 10:42:31
raku-digest-sha1-native-doc-0.06-r1.apk
2.40KB
2025-08-29 10:42:31
raku-distribution-builder-makefromjson-0.6-r1.apk
41.35KB
2025-08-29 10:42:31
raku-distribution-builder-makefromjson-doc-0.6-r1.apk
2.35KB
2025-08-29 10:42:31
raku-docker-file-1.1-r1.apk
131.03KB
2025-08-29 10:42:31
raku-docker-file-doc-1.1-r1.apk
2.52KB
2025-08-29 10:42:31
raku-file-find-0.2.1-r1.apk
17.02KB
2025-08-29 10:42:31
raku-file-find-doc-0.2.1-r1.apk
4.23KB
2025-08-29 10:42:31
raku-file-ignore-1.2-r1.apk
46.45KB
2025-08-29 10:42:31
raku-file-ignore-doc-1.2-r1.apk
4.18KB
2025-08-29 10:42:31
raku-file-temp-0.0.12-r1.apk
44.57KB
2025-08-29 10:42:31
raku-file-temp-doc-0.0.12-r1.apk
2.84KB
2025-08-29 10:42:31
raku-file-which-1.0.4-r1.apk
106.46KB
2025-08-29 10:42:31
raku-file-which-doc-1.0.4-r1.apk
3.60KB
2025-08-29 10:42:31
raku-functionalparsers-0.1.10-r1.apk
1.83MB
2025-08-29 10:42:31
raku-functionalparsers-doc-0.1.10-r1.apk
8.75KB
2025-08-29 10:42:31
raku-html-escape-0.0.1-r1.apk
12.05KB
2025-08-29 10:42:31
raku-html-escape-doc-0.0.1-r1.apk
2.52KB
2025-08-29 10:42:31
raku-http-hpack-1.0.3-r1.apk
88.04KB
2025-08-29 10:42:31
raku-http-hpack-doc-1.0.3-r1.apk
3.23KB
2025-08-29 10:42:31
raku-if-0.1.3-r1.apk
27.89KB
2025-08-29 10:42:31
raku-if-doc-0.1.3-r1.apk
2.62KB
2025-08-29 10:42:31
raku-inline-perl5-0.60_git20250327-r1.apk
2.09MB
2025-08-29 10:42:31
raku-inline-perl5-doc-0.60_git20250327-r1.apk
6.29KB
2025-08-29 10:42:31
raku-io-path-childsecure-1.2-r1.apk
10.51KB
2025-08-29 10:42:31
raku-io-path-childsecure-doc-1.2-r1.apk
2.86KB
2025-08-29 10:42:31
raku-json-class-0.0.21-r1.apk
48.21KB
2025-08-29 10:42:31
raku-json-class-doc-0.0.21-r1.apk
3.32KB
2025-08-29 10:42:31
raku-json-marshal-0.0.25-r1.apk
71.11KB
2025-08-29 10:42:31
raku-json-marshal-doc-0.0.25-r1.apk
3.67KB
2025-08-29 10:42:31
raku-json-name-0.0.7-r1.apk
36.95KB
2025-08-29 10:42:31
raku-json-name-doc-0.0.7-r1.apk
2.79KB
2025-08-29 10:42:31
raku-json-optin-0.0.2-r1.apk
22.14KB
2025-08-29 10:42:31
raku-json-optin-doc-0.0.2-r1.apk
2.73KB
2025-08-29 10:42:31
raku-json-unmarshal-0.18-r1.apk
72.53KB
2025-08-29 10:42:31
raku-json-unmarshal-doc-0.18-r1.apk
3.32KB
2025-08-29 10:42:31
raku-librarymake-1.0.5-r1.apk
37.75KB
2025-08-29 10:42:31
raku-librarymake-doc-1.0.5-r1.apk
4.50KB
2025-08-29 10:42:31
raku-log-timeline-0.5.2-r1.apk
280.38KB
2025-08-29 10:42:31
raku-log-timeline-doc-0.5.2-r1.apk
5.41KB
2025-08-29 10:42:31
raku-meta6-0.0.30-r1.apk
108.75KB
2025-08-29 10:42:31
raku-meta6-doc-0.0.30-r1.apk
3.22KB
2025-08-29 10:42:31
raku-monad-0.1.2-r1.apk
3.60MB
2025-08-29 10:42:31
raku-monad-doc-0.1.2-r1.apk
3.39KB
2025-08-29 10:42:31
raku-nativelibs-0.0.9-r1.apk
86.39KB
2025-08-29 10:42:31
raku-nativelibs-doc-0.0.9-r1.apk
2.62KB
2025-08-29 10:42:31
raku-oo-monitors-1.1.5-r1.apk
13.02KB
2025-08-29 10:42:31
raku-oo-monitors-doc-1.1.5-r1.apk
2.89KB
2025-08-29 10:42:31
raku-protocol-mqtt-0.0.4-r1.apk
224.49KB
2025-08-29 10:42:31
raku-protocol-mqtt-doc-0.0.4-r1.apk
2.33KB
2025-08-29 10:42:31
raku-shell-command-1.1-r1.apk
40.45KB
2025-08-29 10:42:31
raku-shell-command-doc-1.1-r1.apk
3.54KB
2025-08-29 10:42:31
raku-system-query-0.1.6-r1.apk
16.72KB
2025-08-29 10:42:31
raku-system-query-doc-0.1.6-r1.apk
2.51KB
2025-08-29 10:42:31
raku-terminal-quickcharts-0.0.2-r1.apk
216.86KB
2025-08-29 10:42:31
raku-terminal-quickcharts-doc-0.0.2-r1.apk
3.09KB
2025-08-29 10:42:31
raku-text-markdown-1.1.1_git20221121-r1.apk
105.31KB
2025-08-29 10:42:31
raku-text-markdown-doc-1.1.1_git20221121-r1.apk
3.50KB
2025-08-29 10:42:31
raku-time-crontab-1.0.0-r1.apk
122.83KB
2025-08-29 10:42:31
raku-time-crontab-doc-1.0.0-r1.apk
3.40KB
2025-08-29 10:42:31
raku-tinyfloats-0.0.5-r1.apk
34.76KB
2025-08-29 10:42:31
raku-tinyfloats-doc-0.0.5-r1.apk
4.09KB
2025-08-29 10:42:31
randrctl-1.10.0-r0.apk
27.92KB
2024-11-20 04:43:40
randrctl-pyc-1.10.0-r0.apk
31.19KB
2024-11-20 04:43:40
rankwidth-0.9-r3.apk
4.87KB
2024-10-25 22:08:22
rankwidth-dev-0.9-r3.apk
2.92KB
2024-10-25 22:08:22
rankwidth-doc-0.9-r3.apk
3.01KB
2024-10-25 22:08:22
rankwidth-libs-0.9-r3.apk
4.64KB
2024-10-25 22:08:22
rankwidth-static-0.9-r3.apk
3.73KB
2024-10-25 22:08:22
raspberrypi-usbboot-20250227-r0.apk
886.01KB
2025-06-09 11:39:38
raspi2png-0.0.20190727-r0.apk
5.89KB
2024-10-25 22:08:22
rathole-0.5.0-r0.apk
1.27MB
2024-10-25 22:08:22
rattler-build-0.18.0-r0.apk
5.26MB
2024-10-25 22:08:22
rattler-build-bash-completion-0.18.0-r0.apk
3.62KB
2024-10-25 22:08:22
rattler-build-doc-0.18.0-r0.apk
6.72KB
2024-10-25 22:08:22
rattler-build-fish-completion-0.18.0-r0.apk
4.72KB
2024-10-25 22:08:22
rattler-build-zsh-completion-0.18.0-r0.apk
5.44KB
2024-10-25 22:08:22
rauc-1.10.1-r0.apk
139.61KB
2024-10-25 22:08:22
rauc-doc-1.10.1-r0.apk
4.14KB
2024-10-25 22:08:22
rauc-service-1.10.1-r0.apk
3.72KB
2024-10-25 22:08:22
razercfg-0.42-r7.apk
67.96KB
2024-10-25 22:08:22
razercfg-gui-0.42-r7.apk
18.97KB
2024-10-25 22:08:22
razercfg-openrc-0.42-r7.apk
1.72KB
2024-10-25 22:08:22
razercfg-pyc-0.42-r7.apk
35.62KB
2024-10-25 22:08:22
rclone-browser-1.8.0-r1.apk
312.86KB
2024-10-25 22:08:22
rdedup-3.2.1-r5.apk
736.74KB
2024-10-25 22:08:22
rdrview-0.1.3-r0.apk
24.02KB
2025-02-22 21:22:24
rdrview-doc-0.1.3-r0.apk
3.69KB
2025-02-22 21:22:24
reaction-2.2.1-r0.apk
1.28MB
2025-09-23 23:48:58
reaction-openrc-2.2.1-r0.apk
1.83KB
2025-09-23 23:48:58
reaction-tools-2.2.1-r0.apk
4.41KB
2025-09-23 23:48:58
readosm-1.1.0-r3.apk
13.53KB
2025-05-13 00:20:42
readosm-dev-1.1.0-r3.apk
17.98KB
2025-05-13 00:20:42
reap-0.2-r0.apk
4.69KB
2025-09-13 16:05:44
reap-doc-0.2-r0.apk
2.67KB
2025-09-13 16:05:44
reason-3.8.2-r1.apk
18.32MB
2024-10-25 22:08:22
reason-rtop-3.8.2-r1.apk
24.27MB
2024-10-25 22:08:23
reaver-wps-fork-t6x-1.6.6-r1.apk
345.59KB
2024-10-25 22:08:23
recoll-1.37.5-r1.apk
2.61MB
2024-10-25 22:08:23
recoll-dev-1.37.5-r1.apk
53.05KB
2024-10-25 22:08:23
recoll-doc-1.37.5-r1.apk
21.14KB
2024-10-25 22:08:23
recyclarr-7.4.1-r0.apk
2.58MB
2025-02-24 13:55:08
recyclarr-doc-7.4.1-r0.apk
2.21KB
2025-02-24 13:55:08
redhat-fonts-4.1.0-r1.apk
808.99KB
2025-08-09 03:22:05
refine-0.6.0-r0.apk
33.82KB
2025-09-26 14:30:15
refine-lang-0.6.0-r0.apk
32.94KB
2025-09-26 14:30:15
reg-0.16.1-r31.apk
4.58MB
2025-09-09 16:17:34
regal-0.33.1-r3.apk
11.64MB
2025-09-09 16:17:34
regal-bash-completion-0.33.1-r3.apk
6.09KB
2025-09-09 16:17:34
regal-fish-completion-0.33.1-r3.apk
4.32KB
2025-09-09 16:17:34
regal-zsh-completion-0.33.1-r3.apk
4.04KB
2025-09-09 16:17:34
regclient-0.8.3-r3.apk
13.35MB
2025-09-09 16:17:35
remake-1.5-r1.apk
124.39KB
2024-10-25 22:08:23
remake-dev-1.5-r1.apk
2.93KB
2024-10-25 22:08:23
remake-doc-1.5-r1.apk
201.65KB
2024-10-25 22:08:23
remake-make-1.5-r1.apk
1.53KB
2024-10-25 22:08:23
remco-0.12.5-r3.apk
9.46MB
2025-09-09 16:17:35
remco-doc-0.12.5-r3.apk
2.26KB
2025-09-09 16:17:35
remco-openrc-0.12.5-r3.apk
1.70KB
2025-09-09 16:17:35
remind-caldav-0.8.0-r4.apk
17.68KB
2024-10-25 22:08:23
remind-caldav-pyc-0.8.0-r4.apk
6.14KB
2024-10-25 22:08:23
repgrep-0.15.0-r0.apk
1.09MB
2024-10-25 22:08:23
repgrep-bash-completion-0.15.0-r0.apk
1.61KB
2024-10-25 22:08:23
repgrep-doc-0.15.0-r0.apk
6.47KB
2024-10-25 22:08:23
repgrep-fish-completion-0.15.0-r0.apk
4.12KB
2024-10-25 22:08:23
repgrep-zsh-completion-0.15.0-r0.apk
1.62KB
2024-10-25 22:08:23
repo-2.53-r0.apk
16.78KB
2025-03-20 03:31:13
repo-doc-2.53-r0.apk
38.46KB
2025-03-20 03:31:13
repowerd-2023.07-r3.apk
790.23KB
2025-02-22 14:17:59
repowerd-openrc-2023.07-r3.apk
1.67KB
2025-02-22 14:17:59
reprotest-0.7.30-r1.apk
80.29KB
2025-08-09 03:22:05
reprotest-pyc-0.7.30-r1.apk
102.93KB
2025-08-09 03:22:05
reredirect-0.3-r0.apk
7.21KB
2024-10-25 22:08:23
reredirect-doc-0.3-r0.apk
2.84KB
2024-10-25 22:08:23
responder-3.1.5.0-r0.apk
749.99KB
2024-10-25 22:08:23
restart-services-0.17.0-r0.apk
11.51KB
2024-10-25 22:08:23
restart-services-doc-0.17.0-r0.apk
5.88KB
2024-10-25 22:08:23
restic.mk-0.4.0-r0.apk
2.91KB
2024-10-25 22:08:23
restinio-0.6.19-r1.apk
1.23KB
2024-12-14 21:23:33
restinio-dev-0.6.19-r1.apk
267.85KB
2024-12-14 21:23:33
rezolus-2.11.1-r3.apk
783.95KB
2024-10-25 22:08:23
rezolus-doc-2.11.1-r3.apk
3.36KB
2024-10-25 22:08:23
rezolus-openrc-2.11.1-r3.apk
2.07KB
2024-10-25 22:08:23
rgxg-0.1.2-r2.apk
13.30KB
2024-10-25 22:08:23
rgxg-dev-0.1.2-r2.apk
3.52KB
2024-10-25 22:08:23
rgxg-doc-0.1.2-r2.apk
12.32KB
2024-10-25 22:08:23
rhasspy-nlu-0.4.0-r3.apk
43.59KB
2024-10-25 22:08:23
rhasspy-nlu-pyc-0.4.0-r3.apk
72.87KB
2024-10-25 22:08:23
riemann-cli-0.8.0-r2.apk
475.77KB
2024-10-25 22:08:23
rinetd-0.73-r0.apk
12.72KB
2024-10-25 22:08:23
rinetd-doc-0.73-r0.apk
16.43KB
2024-10-25 22:08:23
rinetd-openrc-0.73-r0.apk
1.69KB
2024-10-25 22:08:23
ripasso-cursive-0.7.0-r0.apk
2.80MB
2025-05-23 22:58:50
river-luatile-0.1.4-r0.apk
226.67KB
2025-05-19 15:22:16
river-shifttags-0.2.1-r1.apk
5.93KB
2025-05-13 00:20:42
river-shifttags-doc-0.2.1-r1.apk
2.37KB
2025-05-13 00:20:42
rizin-0.8.1-r0.apk
2.66MB
2025-07-01 21:10:44
rizin-cutter-2.4.1-r0.apk
2.42MB
2025-07-01 21:10:44
rizin-cutter-dev-2.4.1-r0.apk
103.21KB
2025-07-01 21:10:44
rizin-dev-0.8.1-r0.apk
322.57KB
2025-07-01 21:10:44
rizin-doc-0.8.1-r0.apk
19.25KB
2025-07-01 21:10:44
rizin-libs-0.8.1-r0.apk
4.80MB
2025-07-01 21:10:44
rkdeveloptool-1.1.0-r1.apk
51.70KB
2024-10-25 22:08:24
rkdeveloptool-doc-1.1.0-r1.apk
2.95KB
2024-10-25 22:08:24
rke-1.4.3-r18.apk
19.71MB
2025-09-09 16:17:35
rke-doc-1.4.3-r18.apk
2.96KB
2025-09-09 16:17:35
rmlint-2.10.2-r2.apk
134.23KB
2024-10-25 22:08:24
rmlint-doc-2.10.2-r2.apk
17.81KB
2024-10-25 22:08:24
rmlint-lang-2.10.2-r2.apk
18.90KB
2024-10-25 22:08:24
rmlint-shredder-2.10.2-r2.apk
95.96KB
2024-10-25 22:08:24
rmlint-shredder-pyc-2.10.2-r2.apk
124.50KB
2024-10-25 22:08:24
rocm-cmake-6.4.3-r0.apk
28.44KB
2025-08-18 14:32:15
rocm-cmake-doc-6.4.3-r0.apk
2.43KB
2025-08-18 14:32:15
rocm-core-6.4.3-r0.apk
7.52KB
2025-08-18 14:32:15
rocm-core-dev-6.4.3-r0.apk
6.59KB
2025-08-18 14:32:15
rocm-core-doc-6.4.3-r0.apk
2.43KB
2025-08-18 14:32:15
rofi-json-menu-0.2.0-r1.apk
4.69KB
2024-10-25 22:08:24
rofi-pass-2.0.2-r2.apk
8.84KB
2024-10-25 22:08:24
rofi-pass-doc-2.0.2-r2.apk
4.95KB
2024-10-25 22:08:24
roll-2.6.1-r0.apk
11.35KB
2025-05-27 02:05:39
roll-bash-completion-2.6.1-r0.apk
1.87KB
2025-05-27 02:05:39
roll-doc-2.6.1-r0.apk
11.33KB
2025-05-27 02:05:39
rosdep-0.19.0-r6.apk
66.13KB
2024-10-25 22:08:24
rosdep-pyc-0.19.0-r6.apk
118.99KB
2024-10-25 22:08:24
rot8-1.0.0-r0.apk
701.70KB
2025-07-29 00:43:33
rot8-doc-1.0.0-r0.apk
2.22KB
2025-07-29 00:43:33
rpg-cli-1.2.0-r0.apk
533.09KB
2024-10-25 22:08:24
rpi-imager-1.9.0-r1.apk
681.68KB
2025-07-24 16:42:51
rpi-imager-doc-1.9.0-r1.apk
3.11KB
2025-07-24 16:42:51
rpicam-apps-1.8.1-r2.apk
404.45KB
2025-09-27 16:40:39
rpicam-apps-doc-1.8.1-r2.apk
2.37KB
2025-09-27 16:40:39
rss-email-0.5.1-r0.apk
1.92MB
2025-08-10 14:21:15
rss-email-doc-0.5.1-r0.apk
6.39KB
2025-08-10 14:21:15
rsstail-2.2-r0.apk
7.99KB
2025-02-13 09:17:33
rsstail-doc-2.2-r0.apk
2.76KB
2025-02-13 09:17:33
rt5-5.0.8-r0.apk
16.92MB
2025-06-15 07:44:15
rt6-6.0.1-r0.apk
12.26MB
2025-08-13 06:46:26
rtl-power-fftw-20200601-r4.apk
56.64KB
2024-10-25 22:08:24
rtl-power-fftw-doc-20200601-r4.apk
8.15KB
2024-10-25 22:08:24
rtl8812au-src-5.6.4.2_git20250530-r0.apk
2.61MB
2025-05-31 19:23:53
rtl88x2bu-src-5.13.1_git20230711-r0.apk
4.00MB
2024-10-25 22:08:24
rtmidi-6.0.0-r0.apk
27.04KB
2024-10-25 22:08:24
rtmidi-dev-6.0.0-r0.apk
14.10KB
2024-10-25 22:08:24
rtptools-1.22-r2.apk
36.87KB
2024-10-25 22:08:24
rtptools-doc-1.22-r2.apk
12.61KB
2024-10-25 22:08:24
rtw89-src-7_p20230725-r0.apk
758.74KB
2024-10-25 22:08:24
ruby-ansi-1.5.0-r0.apk
25.88KB
2025-07-06 21:16:51
ruby-appraisal-2.5.0-r0.apk
10.87KB
2025-05-26 02:52:09
ruby-appraisal-doc-2.5.0-r0.apk
2.31KB
2025-05-26 02:52:09
ruby-benchmark-ips-2.14.0-r0.apk
14.34KB
2025-05-26 02:54:29
ruby-benchmark-ips-doc-2.14.0-r0.apk
2.27KB
2025-05-26 02:54:29
ruby-build-20250507-r0.apk
91.39KB
2025-05-15 16:21:35
ruby-build-doc-20250507-r0.apk
4.79KB
2025-05-15 16:21:35
ruby-build-runtime-20250507-r0.apk
1.31KB
2025-05-15 16:21:35
ruby-byebug-11.1.3-r1.apk
119.91KB
2025-08-08 19:10:53
ruby-coderay-1.1.3-r0.apk
89.07KB
2025-05-26 02:56:42
ruby-coderay-doc-1.1.3-r0.apk
2.29KB
2025-05-26 02:56:42
ruby-crack-1.0.0-r0.apk
2.32KB
2025-09-19 17:53:45
ruby-crack-doc-1.0.0-r0.apk
2.24KB
2025-09-19 17:53:45
ruby-dry-inflector-1.1.0-r1.apk
8.24KB
2025-04-23 23:24:02
ruby-dry-inflector-doc-1.1.0-r1.apk
2.26KB
2025-04-23 23:24:02
ruby-event_emitter-0.2.6-r0.apk
3.08KB
2025-05-26 03:00:03
ruby-event_emitter-doc-0.2.6-r0.apk
2.27KB
2025-05-26 03:00:03
ruby-facter-4.10.0-r0.apk
218.09KB
2025-07-28 05:04:36
ruby-fast_gettext-3.1.0-r0.apk
22.14KB
2025-05-26 02:58:20
ruby-hashdiff-1.1.1-r1.apk
8.42KB
2025-04-23 23:24:02
ruby-hashdiff-doc-1.1.1-r1.apk
2.24KB
2025-04-23 23:24:02
ruby-hoe-4.2.3-r0.apk
27.64KB
2025-07-28 05:06:27
ruby-libguestfs-1.56.1-r0.apk
102.07KB
2025-07-24 16:42:51
ruby-mail-2.8.1-r0.apk
394.45KB
2025-09-09 16:17:35
ruby-minitest-autotest-1.1.1-r0.apk
14.22KB
2025-07-06 21:16:51
ruby-minitest-focus-1.3.1-r0.apk
5.16KB
2025-07-06 21:16:51
ruby-minitest-power_assert-0.3.1-r0.apk
2.23KB
2025-07-06 21:16:51
ruby-minitest-proveit-1.0.0-r0.apk
4.77KB
2025-07-06 21:16:51
ruby-minitest-reporters-1.7.1-r0.apk
20.09KB
2025-07-06 21:16:51
ruby-minitest-server-1.0.8-r0.apk
5.39KB
2025-07-06 21:16:51
ruby-path_expander-1.1.3-r0.apk
6.42KB
2025-07-06 21:16:51
ruby-ruby-progressbar-1.13.0-r0.apk
24.92KB
2025-07-06 21:16:51
ruff-lsp-0.0.62-r0.apk
21.27KB
2025-03-10 22:53:27
ruff-lsp-pyc-0.0.62-r0.apk
34.99KB
2025-03-10 22:53:27
runst-0.1.7-r0.apk
1.45MB
2024-10-25 22:08:24
runst-doc-0.1.7-r0.apk
7.69KB
2024-10-25 22:08:24
ruri-3.9.2-r0.apk
127.55KB
2025-09-12 14:26:46
ruri-doc-3.9.2-r0.apk
2.23KB
2025-09-12 14:26:46
rust-script-0.36.0-r0.apk
815.92KB
2025-09-09 16:17:35
rustdesk-server-1.1.10.3-r0.apk
2.13MB
2024-10-25 22:08:24
rustdesk-server-openrc-1.1.10.3-r0.apk
2.22KB
2024-10-25 22:08:24
rustic-0.9.3-r0.apk
5.42MB
2024-10-25 22:08:25
rustic-bash-completion-0.9.3-r0.apk
8.61KB
2024-10-25 22:08:25
rustic-fish-completion-0.9.3-r0.apk
16.67KB
2024-10-25 22:08:25
rustic-zsh-completion-0.9.3-r0.apk
13.03KB
2024-10-25 22:08:25
rustical-0.9.8-r0.apk
3.96MB
2025-09-19 17:53:45
rustscan-2.3.0-r0.apk
1.18MB
2024-10-25 22:08:25
ruuvi-prometheus-0.1.9-r5.apk
3.35MB
2025-09-09 16:17:35
ruuvi-prometheus-openrc-0.1.9-r5.apk
1.67KB
2025-09-09 16:17:35
rvlprog-0.91-r2.apk
23.57KB
2024-10-25 22:08:25
ry-0.5.2-r1.apk
4.62KB
2024-10-25 22:08:25
ry-bash-completion-0.5.2-r1.apk
1.95KB
2024-10-25 22:08:25
ry-zsh-completion-0.5.2-r1.apk
2.26KB
2024-10-25 22:08:25
rygel-45.0-r0.apk
694.25KB
2025-09-16 07:50:29
rygel-dev-45.0-r0.apk
42.66KB
2025-09-16 07:50:29
rygel-doc-45.0-r0.apk
9.67KB
2025-09-16 07:50:29
rygel-lang-45.0-r0.apk
583.62KB
2025-09-16 07:50:29
s-dkim-sign-0.6.2-r0.apk
47.82KB
2024-10-25 22:08:25
s-dkim-sign-doc-0.6.2-r0.apk
8.52KB
2024-10-25 22:08:25
s-postgray-0.8.3-r0.apk
39.28KB
2024-10-25 22:08:25
s-postgray-doc-0.8.3-r0.apk
9.58KB
2024-10-25 22:08:25
s5cmd-2.3.0-r6.apk
5.15MB
2025-09-09 16:17:35
saait-0.8-r0.apk
6.68KB
2024-10-25 22:08:25
saait-doc-0.8-r0.apk
12.70KB
2024-10-25 22:08:25
sacc-1.07-r1.apk
13.56KB
2025-09-13 04:00:11
sacc-doc-1.07-r1.apk
2.87KB
2025-09-13 04:00:11
sandbar-0.1-r1.apk
13.69KB
2025-05-14 21:17:54
satellite-1.0.0-r31.apk
2.26MB
2025-09-09 16:17:35
satellite-doc-1.0.0-r31.apk
3.02KB
2025-09-09 16:17:35
satellite-openrc-1.0.0-r31.apk
1.90KB
2025-09-09 16:17:35
sauerbraten-2020.12.29-r4.apk
933.59MB
2025-02-22 14:19:01
sbase-0_git20210730-r3.apk
108.97KB
2024-10-25 22:08:42
sbase-doc-0_git20210730-r3.apk
58.24KB
2024-10-25 22:08:42
sblg-0.5.11-r0.apk
33.39KB
2024-10-25 22:08:42
sblg-doc-0.5.11-r0.apk
1.35MB
2024-10-25 22:08:42
sblim-sfcc-2.2.8-r3.apk
46.52KB
2024-10-25 22:08:42
sblim-sfcc-dev-2.2.8-r3.apk
22.26KB
2024-10-25 22:08:42
sblim-sfcc-doc-2.2.8-r3.apk
34.58KB
2024-10-25 22:08:42
sblim-wbemcli-1.6.3-r1.apk
89.79KB
2024-10-25 22:08:42
sblim-wbemcli-doc-1.6.3-r1.apk
4.53KB
2024-10-25 22:08:42
sc-controller-0.5.3-r0.apk
1.27MB
2025-09-25 05:20:26
sc-controller-pyc-0.5.3-r0.apk
814.41KB
2025-09-25 05:20:26
sc3-plugins-3.13.0-r2.apk
8.37MB
2025-02-09 01:44:09
scalingo-1.30.0-r13.apk
5.29MB
2025-09-09 16:17:35
scap-workbench-1.2.1-r3.apk
222.66KB
2024-10-25 22:08:42
scap-workbench-doc-1.2.1-r3.apk
1.59MB
2024-10-25 22:08:42
schismtracker-20231029-r0.apk
344.82KB
2024-10-25 22:08:42
schismtracker-doc-20231029-r0.apk
6.22KB
2024-10-25 22:08:42
scooper-1.3-r1.apk
423.60KB
2024-10-25 22:08:42
scooper-doc-1.3-r1.apk
2.59KB
2024-10-25 22:08:42
scooter-0.6.0-r0.apk
1.60MB
2025-09-16 07:50:29
scooter-doc-0.6.0-r0.apk
2.26KB
2025-09-16 07:50:29
scratch-1.4.0.7-r1.apk
39.32MB
2024-10-25 22:08:43
scratch-doc-1.4.0.7-r1.apk
2.61KB
2024-10-25 22:08:43
screenkey-1.5-r7.apk
76.36KB
2025-07-24 16:42:51
screenkey-doc-1.5-r7.apk
10.97KB
2025-07-24 16:42:51
screenkey-pyc-1.5-r7.apk
73.14KB
2025-07-24 16:42:51
sct-2018.12.18-r1.apk
3.40KB
2024-10-25 22:08:43
sdl3_image-3.2.4-r0.apk
67.35KB
2025-03-24 22:21:51
sdl3_image-dev-3.2.4-r0.apk
12.05KB
2025-03-24 22:21:51
sdl3_image-doc-3.2.4-r0.apk
2.06KB
2025-03-24 22:21:51
sdparm-1.12-r1.apk
133.08KB
2024-10-25 22:08:45
sdparm-doc-1.12-r1.apk
19.33KB
2024-10-25 22:08:45
seaweedfs-3.93-r2.apk
25.60MB
2025-09-09 16:17:35
seaweedfs-doc-3.93-r2.apk
13.76KB
2025-09-09 16:17:35
seaweedfs-openrc-3.93-r2.apk
1.91KB
2025-09-09 16:17:35
secsipidx-1.3.2-r15.apk
2.77MB
2025-09-09 16:17:35
secsipidx-dev-1.3.2-r15.apk
4.91MB
2025-09-09 16:17:35
secsipidx-libs-1.3.2-r15.apk
2.47MB
2025-09-09 16:17:35
sedutil-1.15.1-r1.apk
173.30KB
2024-10-25 22:08:46
sedutil-doc-1.15.1-r1.apk
3.06KB
2024-10-25 22:08:46
sentinel-minipot-2.3.0-r1.apk
39.62KB
2024-10-25 22:08:46
sentinel-minipot-openrc-2.3.0-r1.apk
2.58KB
2024-10-25 22:08:46
sentinel-proxy-2.1.0-r1.apk
35.64KB
2025-06-13 17:11:53
sentinel-proxy-dev-2.1.0-r1.apk
4.27KB
2025-06-13 17:11:53
sentinel-proxy-openrc-2.1.0-r1.apk
2.24KB
2025-06-13 17:11:53
serialdv-1.1.4-r1.apk
7.03KB
2025-02-09 01:44:09
serialdv-dev-1.1.4-r1.apk
5.13KB
2025-02-09 01:44:09
serialdv-libs-1.1.4-r1.apk
55.56KB
2025-02-09 01:44:09
serie-0.5.1-r0.apk
750.91KB
2025-09-24 19:06:11
serie-doc-0.5.1-r0.apk
7.89KB
2025-09-24 19:06:11
setroot-2.0.2-r1.apk
10.67KB
2024-10-25 22:08:46
setroot-doc-2.0.2-r1.apk
4.44KB
2024-10-25 22:08:46
sfizz-1.2.3-r0.apk
1.52MB
2025-05-16 23:14:17
sfizz-dev-1.2.3-r0.apk
14.74KB
2025-05-16 23:14:17
sfizz-doc-1.2.3-r0.apk
3.01KB
2025-05-16 23:14:17
sflowtool-6.02-r0.apk
41.26KB
2024-10-25 22:08:46
sflowtool-doc-6.02-r0.apk
9.33KB
2024-10-25 22:08:46
sfwbar-1.0_beta161-r0.apk
253.46KB
2025-07-31 16:29:01
sfwbar-doc-1.0_beta161-r0.apk
26.51KB
2025-07-31 16:29:01
sgt-puzzles-0_git20230310-r2.apk
2.49MB
2024-10-25 22:08:46
shc-4.0.3-r2.apk
18.02KB
2024-10-25 22:08:46
shellinabox-2.21-r3.apk
114.95KB
2024-10-25 22:08:46
shellinabox-doc-2.21-r3.apk
19.36KB
2024-10-25 22:08:46
shellinabox-openrc-2.21-r3.apk
3.52KB
2024-10-25 22:08:46
shfm-0.4.2-r1.apk
4.04KB
2024-10-25 22:08:46
shfm-doc-0.4.2-r1.apk
6.14KB
2024-10-25 22:08:46
shine-3.1.1-r0.apk
50.42KB
2024-10-25 22:08:46
shipments-0.3.0-r0.apk
23.47KB
2024-10-25 22:08:46
shntool-3.0.10-r5.apk
54.37KB
2025-02-25 00:28:22
shntool-doc-3.0.10-r5.apk
10.27KB
2025-02-25 00:28:22
shutdown-clear-machine-id-1.0.0-r0.apk
1.79KB
2024-10-25 22:08:46
sigma-0.23.1-r1.apk
236.78KB
2024-10-25 22:08:46
sigma-pyc-0.23.1-r1.apk
340.02KB
2024-10-25 22:08:46
sigrok-cli-0.7.2-r0.apk
36.46KB
2024-10-25 22:08:46
sigrok-cli-doc-0.7.2-r0.apk
7.99KB
2024-10-25 22:08:46
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
13.07KB
2024-10-25 22:08:46
silc-client-1.1.11-r18.apk
740.06KB
2025-07-01 21:10:44
silc-client-doc-1.1.11-r18.apk
82.12KB
2025-07-01 21:10:44
simgear-2024.1.1-r0.apk
1.95MB
2025-03-05 02:14:54
simgear-dev-2024.1.1-r0.apk
404.08KB
2025-03-05 02:14:54
simh-3.11.1-r1.apk
2.57MB
2024-10-25 22:08:46
simp1e-cursors-0_git20250312-r0.apk
446.68KB
2025-03-13 14:27:37
simp1e-cursors-adw-0_git20250312-r0.apk
477.80KB
2025-03-13 14:27:37
simp1e-cursors-adw-dark-0_git20250312-r0.apk
481.99KB
2025-03-13 14:27:38
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
484.97KB
2025-03-13 14:27:38
simp1e-cursors-adw-left-0_git20250312-r0.apk
483.01KB
2025-03-13 14:27:38
simp1e-cursors-breeze-0_git20250312-r0.apk
504.24KB
2025-03-13 14:27:38
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
519.97KB
2025-03-13 14:27:38
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
526.31KB
2025-03-13 14:27:38
simp1e-cursors-breeze-left-0_git20250312-r0.apk
509.31KB
2025-03-13 14:27:38
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
535.02KB
2025-03-13 14:27:38
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
547.45KB
2025-03-13 14:27:38
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
527.56KB
2025-03-13 14:27:38
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
537.34KB
2025-03-13 14:27:38
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
532.65KB
2025-03-13 14:27:38
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
544.43KB
2025-03-13 14:27:38
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
529.89KB
2025-03-13 14:27:38
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
539.93KB
2025-03-13 14:27:38
simp1e-cursors-dark-0_git20250312-r0.apk
483.92KB
2025-03-13 14:27:38
simp1e-cursors-dark-left-0_git20250312-r0.apk
489.56KB
2025-03-13 14:27:38
simp1e-cursors-doc-0_git20250312-r0.apk
13.83KB
2025-03-13 14:27:38
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
524.98KB
2025-03-13 14:27:38
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
536.95KB
2025-03-13 14:27:38
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
517.11KB
2025-03-13 14:27:38
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
526.60KB
2025-03-13 14:27:38
simp1e-cursors-left-0_git20250312-r0.apk
448.50KB
2025-03-13 14:27:38
simp1e-cursors-mix-dark-0_git20250312-r0.apk
486.73KB
2025-03-13 14:27:38
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
492.18KB
2025-03-13 14:27:38
simp1e-cursors-mix-light-0_git20250312-r0.apk
449.54KB
2025-03-13 14:27:38
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
451.43KB
2025-03-13 14:27:38
simp1e-cursors-nord-dark-0_git20250312-r0.apk
535.63KB
2025-03-13 14:27:38
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
548.56KB
2025-03-13 14:27:38
simp1e-cursors-nord-light-0_git20250312-r0.apk
517.88KB
2025-03-13 14:27:38
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
524.68KB
2025-03-13 14:27:38
simp1e-cursors-rose-pine-0_git20250312-r0.apk
533.48KB
2025-03-13 14:27:38
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
538.40KB
2025-03-13 14:27:38
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
550.59KB
2025-03-13 14:27:38
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
545.13KB
2025-03-13 14:27:38
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
537.89KB
2025-03-13 14:27:38
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
550.19KB
2025-03-13 14:27:38
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
523.69KB
2025-03-13 14:27:38
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
535.01KB
2025-03-13 14:27:39
simp1e-cursors-solarized-light-0_git20250312-r0.apk
531.06KB
2025-03-13 14:27:39
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
542.04KB
2025-03-13 14:27:39
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
529.91KB
2025-03-13 14:27:39
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
540.95KB
2025-03-13 14:27:39
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
519.84KB
2025-03-13 14:27:39
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
526.90KB
2025-03-13 14:27:39
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
533.93KB
2025-03-13 14:27:39
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
545.64KB
2025-03-13 14:27:39
simp1e-cursors-zenburn-0_git20250312-r0.apk
529.26KB
2025-03-13 14:27:39
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
540.15KB
2025-03-13 14:27:39
simpleble-0.10.3-r0.apk
1.23KB
2025-07-15 13:28:50
simpleble-dev-0.10.3-r0.apk
27.93KB
2025-07-15 13:28:50
singular-4.4.1_p2-r0.apk
9.49MB
2025-07-02 20:18:00
singular-dev-4.4.1_p2-r0.apk
359.05KB
2025-07-02 20:18:00
singular-doc-4.4.1_p2-r0.apk
1.35MB
2025-07-02 20:18:00
singular-emacs-4.4.1_p2-r0.apk
101.57KB
2025-07-02 20:18:00
singular-static-4.4.1_p2-r0.apk
4.77MB
2025-07-02 20:18:01
sipexer-1.2.0-r6.apk
2.83MB
2025-09-09 16:17:35
sipgrep-2.2.0-r1.apk
23.96KB
2024-10-25 22:08:47
siril-1.2.6-r4.apk
2.52MB
2025-08-29 09:29:08
siril-doc-1.2.6-r4.apk
17.77KB
2025-08-29 09:29:08
siril-lang-1.2.6-r4.apk
1.55MB
2025-08-29 09:29:08
sish-2.16.1-r8.apk
7.92MB
2025-09-09 16:17:35
sish-openrc-2.16.1-r8.apk
1.91KB
2025-09-09 16:17:35
skint-0.6.5-r0.apk
491.77KB
2025-09-16 12:03:39
slidge-0.1.3-r0.apk
144.61KB
2024-10-25 22:08:47
slidge-doc-0.1.3-r0.apk
4.54KB
2024-10-25 22:08:47
slidge-matridge-0.1.0-r0.apk
29.69KB
2024-10-25 22:08:47
slidge-matridge-openrc-0.1.0-r0.apk
1.51KB
2024-10-25 22:08:47
slidge-matridge-pyc-0.1.0-r0.apk
37.92KB
2024-10-25 22:08:47
slidge-openrc-0.1.3-r0.apk
2.31KB
2024-10-25 22:08:47
slidge-pyc-0.1.3-r0.apk
285.01KB
2024-10-25 22:08:47
sloccount-2.26-r3.apk
55.59KB
2024-10-25 22:08:47
sloccount-doc-2.26-r3.apk
59.37KB
2024-10-25 22:08:47
slurm-0.4.4-r0.apk
13.67KB
2024-10-25 22:08:47
slurm-doc-0.4.4-r0.apk
2.26KB
2024-10-25 22:08:47
smassh-3.1.6-r0.apk
72.40KB
2024-11-21 04:56:53
smassh-pyc-3.1.6-r0.apk
70.23KB
2024-11-21 04:56:53
smile-2.10.2-r0.apk
863.30KB
2025-09-10 00:19:50
smile-lang-2.10.2-r0.apk
28.23KB
2025-09-10 00:19:50
smplxmpp-0.9.3-r4.apk
131.56KB
2024-12-18 14:24:10
smplxmpp-doc-0.9.3-r4.apk
24.77KB
2024-12-18 14:24:10
snapper-0.13.0-r0.apk
865.13KB
2025-09-19 17:53:45
snapper-bash-completion-0.13.0-r0.apk
3.37KB
2025-09-19 17:53:45
snapper-dev-0.13.0-r0.apk
10.73KB
2025-09-19 17:53:45
snapper-doc-0.13.0-r0.apk
25.26KB
2025-09-19 17:53:45
snapper-lang-0.13.0-r0.apk
218.95KB
2025-09-19 17:53:45
snapper-zsh-completion-0.13.0-r0.apk
3.57KB
2025-09-19 17:53:45
snapraid-12.4-r0.apk
249.52KB
2025-05-11 14:57:55
snapraid-doc-12.4-r0.apk
17.29KB
2025-05-11 14:57:55
sndfile-tools-1.5-r1.apk
35.57KB
2024-10-25 22:08:47
sndfile-tools-doc-1.5-r1.apk
360.97KB
2024-10-25 22:08:47
snippets-ls-0.0.4_git20240617-r8.apk
1.31MB
2025-09-09 16:17:35
snore-0.3.1-r0.apk
4.74KB
2024-10-25 22:08:47
snore-doc-0.3.1-r0.apk
3.05KB
2024-10-25 22:08:47
so-0.4.10-r0.apk
1.85MB
2024-10-25 22:08:47
soapy-bladerf-0.4.2-r0.apk
45.54KB
2024-12-31 03:11:49
soapy-hackrf-0.3.4-r2.apk
25.57KB
2024-10-25 22:08:47
soapy-sdr-remote-0.5.2-r1.apk
174.04KB
2024-10-25 22:08:47
soapy-sdr-remote-doc-0.5.2-r1.apk
2.35KB
2024-10-25 22:08:47
soapy-sdr-remote-openrc-0.5.2-r1.apk
1.69KB
2024-10-25 22:08:47
solanum-6.0.0-r0.apk
233.04KB
2025-04-11 21:19:16
solanum-lang-6.0.0-r0.apk
47.33KB
2025-04-11 21:19:16
solarus-engine-1.7.0-r2.apk
1.80MB
2025-07-24 16:42:51
solarus-engine-doc-1.7.0-r2.apk
3.35KB
2025-07-24 16:42:51
somebar-1.0.3-r0.apk
32.36KB
2024-10-25 22:08:47
somebar-doc-1.0.3-r0.apk
2.42KB
2024-10-25 22:08:47
sonarr-4.0.15.2941-r0.apk
26.89MB
2025-06-21 17:09:41
sonarr-openrc-4.0.15.2941-r0.apk
2.04KB
2025-06-21 17:09:41
sonicradio-0.8.4-r0.apk
3.21MB
2025-09-09 16:17:35
sopwith-2.5.0-r0.apk
44.76KB
2024-10-25 22:08:48
sopwith-doc-2.5.0-r0.apk
14.87KB
2024-10-25 22:08:48
sos-0.8-r34.apk
2.75MB
2025-09-09 16:17:36
soundconverter-4.1.1-r0.apk
164.51KB
2025-07-29 16:12:29
soundconverter-doc-4.1.1-r0.apk
4.72KB
2025-07-29 16:12:29
soundconverter-lang-4.1.1-r0.apk
106.90KB
2025-07-29 16:12:29
soundconverter-pyc-4.1.1-r0.apk
76.64KB
2025-07-29 16:12:29
spacectl-1.12.0-r4.apk
5.66MB
2025-09-09 16:17:36
spacectl-bash-completion-1.12.0-r4.apk
2.05KB
2025-09-09 16:17:36
spacectl-doc-1.12.0-r4.apk
2.29KB
2025-09-09 16:17:36
spacectl-fish-completion-1.12.0-r4.apk
7.06KB
2025-09-09 16:17:36
spacectl-zsh-completion-1.12.0-r4.apk
1.81KB
2025-09-09 16:17:36
spacer-0.5.0-r0.apk
872.43KB
2025-08-31 05:52:38
spacer-doc-0.5.0-r0.apk
3.08KB
2025-08-31 05:52:38
spampd-2.62-r0.apk
38.52KB
2025-02-26 00:24:52
spampd-openrc-2.62-r0.apk
1.98KB
2025-02-26 00:24:52
spark-2.8.3-r1.apk
28.93MB
2024-10-25 22:08:48
speedcrunch-0.12-r3.apk
1.06MB
2024-10-25 22:08:48
speedtest-5.2.5-r1.apk
253.46KB
2024-10-25 22:08:48
speedtest-doc-5.2.5-r1.apk
18.39KB
2024-10-25 22:08:48
speedtest-examples-5.2.5-r1.apk
12.96KB
2024-10-25 22:08:48
speedtest-go-1.1.5-r18.apk
5.43MB
2025-09-09 16:17:36
speedtest-go-doc-1.1.5-r18.apk
4.51KB
2025-09-09 16:17:36
speedtest-go-openrc-1.1.5-r18.apk
1.73KB
2025-09-09 16:17:36
speedtest_exporter-0.3.2-r18.apk
3.90MB
2025-09-09 16:17:36
speedtest_exporter-openrc-0.3.2-r18.apk
1.86KB
2025-09-09 16:17:36
spice-html5-0.3.0-r1.apk
438.45KB
2024-10-25 22:08:48
spike-1.1.0-r0.apk
919.70KB
2024-10-25 22:08:48
spiritvnc-0.6.5-r0.apk
46.51KB
2024-11-03 07:09:52
spnavcfg-1.1-r0.apk
34.24KB
2024-10-25 22:08:48
spotify-tui-0.25.0-r2.apk
1.65MB
2024-10-25 22:08:48
spread-sheet-widget-0.10-r0.apk
39.21KB
2024-10-25 22:08:48
spread-sheet-widget-dbg-0.10-r0.apk
195.45KB
2024-10-25 22:08:49
spread-sheet-widget-dev-0.10-r0.apk
339.51KB
2024-10-25 22:08:49
spread-sheet-widget-doc-0.10-r0.apk
4.64KB
2024-10-25 22:08:49
spreadtrum_flash-1.20240815-r0.apk
31.07KB
2025-05-11 14:57:56
spvm-errno-0.093-r1.apk
15.21KB
2025-07-01 21:10:44
spvm-errno-doc-0.093-r1.apk
6.01KB
2025-07-01 21:10:44
spvm-math-1.006-r1.apk
17.65KB
2025-07-01 21:10:44
spvm-math-doc-1.006-r1.apk
6.94KB
2025-07-01 21:10:44
spvm-mime-base64-1.003-r1.apk
13.11KB
2025-07-01 21:10:44
spvm-mime-base64-doc-1.003-r1.apk
5.48KB
2025-07-01 21:10:44
spvm-thread-0.003-r1.apk
10.40KB
2025-07-01 21:10:44
spvm-thread-doc-0.003-r1.apk
5.97KB
2025-07-01 21:10:44
sqlar-0_git20180107-r1.apk
14.06KB
2024-10-25 22:08:49
sqlar-doc-0_git20180107-r1.apk
3.31KB
2024-10-25 22:08:49
sqliteodbc-0.99991-r0.apk
81.12KB
2024-10-25 22:08:49
sqlmap-1.9.9-r0.apk
6.77MB
2025-09-09 16:17:36
sqlmap-pyc-1.9.9-r0.apk
1.17MB
2025-09-09 16:17:36
sqm-scripts-1.6.0-r0.apk
20.45KB
2024-10-25 22:08:49
sqruff-0.25.26-r0.apk
1.89MB
2025-04-13 23:34:52
sqruff-doc-0.25.26-r0.apk
8.64KB
2025-04-13 23:34:52
squeak-vm-4.10.2.2614-r1.apk
388.70KB
2024-10-25 22:08:49
squeak-vm-doc-4.10.2.2614-r1.apk
12.34KB
2024-10-25 22:08:49
srain-1.8.1-r0.apk
144.44KB
2025-06-26 21:45:30
srain-lang-1.8.1-r0.apk
35.11KB
2025-06-26 21:45:30
ssdfs-tools-4.09-r0.apk
96.30KB
2024-10-25 22:08:49
ssdfs-tools-dev-4.09-r0.apk
18.46KB
2024-10-25 22:08:49
ssh-cert-authority-2.0.0-r29.apk
4.90MB
2025-09-09 16:17:36
ssh-honeypot-0.1.1-r1.apk
7.94KB
2024-10-25 22:08:49
ssh-honeypot-openrc-0.1.1-r1.apk
2.05KB
2024-10-25 22:08:49
ssh-tools-1.8-r0.apk
25.58KB
2024-10-25 22:08:49
sshs-4.7.2-r0.apk
638.58KB
2025-04-24 01:28:32
sshsrv-1.0-r15.apk
0.97MB
2025-09-09 16:17:36
sshuttle-1.1.2-r0.apk
62.25KB
2024-10-25 22:08:49
sshuttle-doc-1.1.2-r0.apk
8.48KB
2024-10-25 22:08:49
sshuttle-pyc-1.1.2-r0.apk
100.70KB
2024-10-25 22:08:49
sssd-2.11.1-r1.apk
1.90MB
2025-08-09 03:22:05
sssd-dev-2.11.1-r1.apk
14.79KB
2025-08-09 03:22:05
sssd-openrc-2.11.1-r1.apk
1.68KB
2025-08-09 03:22:05
ssss-0.5.7-r0.apk
10.84KB
2024-10-25 22:08:49
ssss-doc-0.5.7-r0.apk
3.34KB
2024-10-25 22:08:49
sstp-client-1.0.20-r2.apk
34.40KB
2025-05-30 13:24:09
sstp-client-dev-1.0.20-r2.apk
5.06KB
2025-05-30 13:24:09
sstp-client-doc-1.0.20-r2.apk
4.86KB
2025-05-30 13:24:09
stalwart-cli-0.13.3-r0.apk
2.25MB
2025-09-13 16:04:16
stalwart-mail-0.13.3-r0.apk
14.03MB
2025-09-13 16:04:16
stalwart-mail-openrc-0.13.3-r0.apk
2.07KB
2025-09-13 16:04:16
stam-0.12.2-r0.apk
1.48MB
2025-09-22 15:10:18
starfighter-2.4-r0.apk
47.86MB
2024-10-25 22:08:50
starfighter-doc-2.4-r0.apk
21.57KB
2024-10-25 22:08:50
startup-2.0.3-r5.apk
460.89KB
2024-10-25 22:08:50
startup-bridge-dconf-2.0.3-r5.apk
34.08KB
2024-10-25 22:08:50
startup-bridge-udev-2.0.3-r5.apk
33.70KB
2024-10-25 22:08:50
startup-dev-2.0.3-r5.apk
5.84KB
2024-10-25 22:08:50
startup-doc-2.0.3-r5.apk
47.59KB
2024-10-25 22:08:50
startup-fish-completion-2.0.3-r5.apk
5.38KB
2024-10-25 22:08:50
startup-lang-2.0.3-r5.apk
16.54KB
2024-10-25 22:08:50
startup-tools-2.0.3-r5.apk
13.31KB
2024-10-25 22:08:50
stayrtr-0.6.2-r7.apk
10.62MB
2025-09-09 16:17:36
stayrtr-openrc-0.6.2-r7.apk
1.98KB
2025-09-09 16:17:36
steamguard-cli-0.17.1-r0.apk
2.77MB
2025-07-15 13:28:50
steamguard-cli-bash-completion-0.17.1-r0.apk
2.89KB
2025-07-15 13:28:50
steamguard-cli-zsh-completion-0.17.1-r0.apk
4.22KB
2025-07-15 13:28:50
steghide-0.5.1.1-r0.apk
122.90KB
2024-10-25 22:08:50
steghide-doc-0.5.1.1-r0.apk
13.72KB
2024-10-25 22:08:50
stern-1.32.0-r7.apk
17.78MB
2025-09-09 16:17:36
stern-bash-completion-1.32.0-r7.apk
5.83KB
2025-09-09 16:17:36
stern-fish-completion-1.32.0-r7.apk
4.31KB
2025-09-09 16:17:36
stern-zsh-completion-1.32.0-r7.apk
4.04KB
2025-09-09 16:17:36
sthttpd-2.27.1-r2.apk
58.47KB
2024-10-25 22:08:50
sthttpd-doc-2.27.1-r2.apk
18.22KB
2024-10-25 22:08:50
sthttpd-openrc-2.27.1-r2.apk
1.95KB
2024-10-25 22:08:50
stockfish-17-r0.apk
61.75MB
2025-03-04 00:42:05
stone-soup-0.32.1-r0.apk
30.79MB
2024-10-25 22:08:52
sturmreader-3.7.2-r2.apk
0.98MB
2025-09-09 16:17:36
sturmreader-lang-3.7.2-r2.apk
38.97KB
2025-09-09 16:17:36
stw-0.3-r0.apk
6.94KB
2024-10-25 22:08:52
stw-doc-0.3-r0.apk
2.53KB
2024-10-25 22:08:52
sublime-music-0.12.0-r1.apk
189.51KB
2024-10-25 22:08:52
sublime-music-pyc-0.12.0-r1.apk
302.18KB
2024-10-25 22:08:52
subliminal-2.2.1-r1.apk
68.42KB
2025-05-14 21:17:54
subliminal-pyc-2.2.1-r1.apk
134.65KB
2025-05-14 21:17:54
sudo-ldap-1.9.17_p1-r0.apk
773.02KB
2025-07-01 21:57:40
supercollider-3.13.0-r6.apk
7.13MB
2025-02-09 01:44:09
supercollider-dev-3.13.0-r6.apk
39.20KB
2025-02-09 01:44:09
supermin-5.2.2-r2.apk
490.21KB
2024-10-25 22:08:52
supermin-doc-5.2.2-r2.apk
9.36KB
2024-10-25 22:08:52
supersonik-0.1.0-r2.apk
1.05MB
2025-04-13 16:17:00
surf-2.1-r3.apk
20.19KB
2024-10-25 22:08:52
surf-doc-2.1-r3.apk
4.63KB
2024-10-25 22:08:52
surfraw-2.3.0-r0.apk
78.71KB
2024-10-25 22:08:52
surfraw-doc-2.3.0-r0.apk
17.68KB
2024-10-25 22:08:52
suru-icon-theme-2025.05.0-r0.apk
2.87MB
2025-05-11 14:57:56
svgbob-0.7.6-r0.apk
404.50KB
2025-02-04 19:20:18
svls-0.2.12-r0.apk
3.13MB
2024-10-25 22:08:52
svls-doc-0.2.12-r0.apk
2.23KB
2024-10-25 22:08:52
swaks-20240103.0-r0.apk
66.07KB
2024-10-25 22:08:52
swaks-doc-20240103.0-r0.apk
49.63KB
2024-10-25 22:08:52
swappy-1.7.1-r0.apk
25.51KB
2025-08-22 02:29:33
swappy-doc-1.7.1-r0.apk
3.81KB
2025-08-22 02:29:33
swappy-lang-1.7.1-r0.apk
3.80KB
2025-08-22 02:29:33
sway-audio-idle-inhibit-0.1.2-r0.apk
8.87KB
2024-10-25 22:08:52
swayhide-0.2.1-r2.apk
224.25KB
2024-10-25 22:08:52
swhkd-1.2.1-r0.apk
938.05KB
2024-10-25 22:08:52
swhkd-doc-1.2.1-r0.apk
6.17KB
2024-10-25 22:08:52
swi-prolog-9.2.9-r0.apk
4.45MB
2024-12-21 12:08:18
swi-prolog-doc-9.2.9-r0.apk
2.06MB
2024-12-21 12:08:18
swi-prolog-pyc-9.2.9-r0.apk
22.46KB
2024-12-21 12:08:18
swi-prolog-xpce-9.2.9-r0.apk
921.84KB
2024-12-21 12:08:18
swi-prolog-xpce-doc-9.2.9-r0.apk
1.04MB
2024-12-21 12:08:18
sxcs-1.1.0-r0.apk
8.13KB
2024-10-25 22:08:52
sxcs-doc-1.1.0-r0.apk
2.64KB
2024-10-25 22:08:52
sydbox-3.21.3-r0.apk
1.19MB
2024-10-25 22:08:52
sydbox-doc-3.21.3-r0.apk
83.90KB
2024-10-25 22:08:52
sydbox-utils-3.21.3-r0.apk
5.28MB
2024-10-25 22:08:52
sydbox-vim-3.21.3-r0.apk
5.22KB
2024-10-25 22:08:52
sylpheed-imap-notify-1.1.0-r2.apk
7.46KB
2024-10-25 22:08:52
symbiyosys-0.36-r0.apk
37.55KB
2024-10-25 22:08:52
symengine-0.12.0-r0.apk
3.23MB
2024-10-25 22:08:52
symlinks-1.4.3-r0.apk
5.68KB
2025-04-23 00:24:52
symlinks-doc-1.4.3-r0.apk
3.85KB
2025-04-23 00:24:52
sympow-2.023.7-r2.apk
1.81MB
2025-05-11 14:57:56
sympow-doc-2.023.7-r2.apk
3.11KB
2025-05-11 14:57:56
synapse-bt-1.0-r4.apk
0.98MB
2024-10-25 22:08:52
synapse-bt-cli-1.0-r4.apk
900.64KB
2024-10-25 22:08:52
synapse-bt-openrc-1.0-r4.apk
1.82KB
2024-10-25 22:08:52
syncthing-gtk-0.9.4.5-r2.apk
439.95KB
2024-10-25 22:08:52
syncthing-gtk-doc-0.9.4.5-r2.apk
2.20KB
2024-10-25 22:08:52
syncthing-gtk-pyc-0.9.4.5-r2.apk
220.60KB
2024-10-25 22:08:52
t2sz-1.1.2-r0.apk
7.96KB
2024-10-25 22:08:52
tachyon-0.99_beta6-r1.apk
82.79KB
2024-10-25 22:08:52
tachyon-scenes-0.99_beta6-r1.apk
1.93MB
2024-10-25 22:08:52
tailspin-5.4.2-r0.apk
1.04MB
2025-05-14 12:43:02
tailspin-bash-completion-5.4.2-r0.apk
2.23KB
2025-05-14 12:43:02
tailspin-doc-5.4.2-r0.apk
3.01KB
2025-05-14 12:43:02
tailspin-fish-completion-5.4.2-r0.apk
2.12KB
2025-05-14 12:43:02
tailspin-zsh-completion-5.4.2-r0.apk
2.46KB
2025-05-14 12:43:02
tang-15-r0.apk
14.26KB
2025-01-25 09:04:20
tang-dbg-15-r0.apk
32.25KB
2025-01-25 09:04:20
tang-doc-15-r0.apk
20.57KB
2025-01-25 09:04:20
tang-openrc-15-r0.apk
1.89KB
2025-01-25 09:04:20
tangara-companion-0.5.0-r0.apk
835.95KB
2025-09-19 17:53:45
tangctl-0_git20241007-r7.apk
2.64MB
2025-09-09 16:17:36
tanidvr-1.4.1-r2.apk
20.45KB
2025-03-21 13:52:27
tanidvr-dhav2mkv-1.4.1-r2.apk
11.44KB
2025-03-21 13:52:27
tanka-0.32.0-r3.apk
4.32MB
2025-09-09 16:17:36
tartube-2.5.0-r1.apk
2.74MB
2024-10-25 22:08:53
tartube-pyc-2.5.0-r1.apk
1.07MB
2024-10-25 22:08:53
taskcafe-0.3.6-r16.apk
13.55MB
2025-09-09 16:17:36
taskcafe-openrc-0.3.6-r16.apk
1.82KB
2025-09-09 16:17:36
taskwarrior-tui-0.26.3-r0.apk
1.05MB
2025-03-26 12:25:04
taskwarrior-tui-bash-completion-0.26.3-r0.apk
1.98KB
2025-03-26 12:25:04
taskwarrior-tui-doc-0.26.3-r0.apk
3.94KB
2025-03-26 12:25:04
taskwarrior-tui-fish-completion-0.26.3-r0.apk
1.74KB
2025-03-26 12:25:04
tauri-cli-2.4.0-r0.apk
6.99MB
2025-04-02 00:54:36
tayga-0.9.5-r0.apk
23.63KB
2025-06-20 10:58:48
tayga-doc-0.9.5-r0.apk
6.05KB
2025-06-20 10:58:48
tcl-curl-7.22.0-r0.apk
26.86KB
2024-10-25 22:08:53
tcl-curl-doc-7.22.0-r0.apk
37.66KB
2024-10-25 22:08:53
tcl9-9.0.2-r0.apk
1.66MB
2025-07-03 11:13:07
tcl9-dev-9.0.2-r0.apk
183.77KB
2025-07-03 11:13:07
tcl9-doc-9.0.2-r0.apk
1.38MB
2025-07-03 11:13:07
tcpbench-3.00-r1.apk
13.23KB
2025-09-13 04:00:11
tcpbench-doc-3.00-r1.apk
5.25KB
2025-09-13 04:00:11
tdrop-0.5.0-r0.apk
11.82KB
2024-10-25 22:08:53
tdrop-doc-0.5.0-r0.apk
8.85KB
2024-10-25 22:08:53
tealdeer-1.7.2-r0.apk
801.31KB
2025-03-23 15:19:55
tealdeer-bash-completion-1.7.2-r0.apk
1.97KB
2025-03-23 15:19:55
tealdeer-fish-completion-1.7.2-r0.apk
2.21KB
2025-03-23 15:19:55
tealdeer-zsh-completion-1.7.2-r0.apk
2.31KB
2025-03-23 15:19:55
teapot-tools-0.4.2-r2.apk
1.83MB
2024-10-25 22:08:53
telegram-bot-api-9.1-r0.apk
6.60MB
2025-08-08 19:10:56
telegram-tdlib-1.8.51-r0.apk
6.60MB
2025-08-08 19:10:56
telegram-tdlib-dev-1.8.51-r0.apk
188.68KB
2025-08-08 19:10:56
telegram-tdlib-static-1.8.51-r0.apk
19.11MB
2025-08-08 19:10:56
templ-0.3.920-r2.apk
5.15MB
2025-09-09 16:17:36
tenv-4.7.6-r3.apk
9.53MB
2025-09-09 16:17:36
tenv-bash-completion-4.7.6-r3.apk
6.10KB
2025-09-09 16:17:36
tenv-fish-completion-4.7.6-r3.apk
4.32KB
2025-09-09 16:17:36
tenv-zsh-completion-4.7.6-r3.apk
4.04KB
2025-09-09 16:17:36
tere-1.6.0-r0.apk
939.80KB
2024-10-25 22:08:54
tere-doc-1.6.0-r0.apk
13.98KB
2024-10-25 22:08:54
termbox-1.1.2-r1.apk
10.40KB
2024-10-25 22:08:54
termbox-dev-1.1.2-r1.apk
5.73KB
2024-10-25 22:08:54
termbox-static-1.1.2-r1.apk
11.16KB
2024-10-25 22:08:54
termcolor-2.1.0-r0.apk
1.47KB
2024-10-25 22:08:54
termcolor-dev-2.1.0-r0.apk
6.83KB
2024-10-25 22:08:54
terminology-1.14.0-r0.apk
2.66MB
2025-05-28 01:49:09
terminology-doc-1.14.0-r0.apk
8.99KB
2025-05-28 01:49:09
terminology-lang-1.14.0-r0.apk
142.98KB
2025-05-28 01:49:09
termusic-mpv-0.7.11-r0.apk
5.36MB
2024-10-25 22:08:54
texlab-5.23.1-r0.apk
8.50MB
2025-08-22 10:31:51
tfupdate-0.8.2-r9.apk
4.80MB
2025-09-09 16:17:36
tfupdate-doc-0.8.2-r9.apk
2.27KB
2025-09-09 16:17:36
theforceengine-1.09.540-r1.apk
6.72MB
2024-10-25 22:08:54
theforceengine-doc-1.09.540-r1.apk
6.32MB
2024-10-25 22:08:54
thefuck-3.32-r5.apk
83.34KB
2024-10-25 22:08:54
thefuck-pyc-3.32-r5.apk
155.72KB
2024-10-25 22:08:54
thelounge-4.4.3-r0.apk
27.71MB
2024-10-25 22:08:55
thelounge-doc-4.4.3-r0.apk
2.30KB
2024-10-25 22:08:55
thelounge-openrc-4.4.3-r0.apk
2.04KB
2024-10-25 22:08:55
theme.sh-1.1.5-r0.apk
39.05KB
2024-10-25 22:08:55
theme.sh-doc-1.1.5-r0.apk
2.30KB
2024-10-25 22:08:55
throttled-0.10.0-r1.apk
14.55KB
2024-12-15 21:22:54
throttled-openrc-0.10.0-r1.apk
1.61KB
2024-12-15 21:22:54
throttled-pyc-0.10.0-r1.apk
28.37KB
2024-12-15 21:22:54
thumbdrives-0.3.2-r2.apk
10.94KB
2024-10-25 22:08:55
thunar-gtkhash-plugin-1.5-r0.apk
20.27KB
2024-10-25 22:08:55
thunarx-python-0.5.2-r2.apk
9.06KB
2024-10-25 22:08:55
thunarx-python-doc-0.5.2-r2.apk
25.20KB
2024-10-25 22:08:55
tick-1.2.2-r0.apk
9.95KB
2025-01-14 03:26:05
tick-doc-1.2.2-r0.apk
5.46KB
2025-01-14 03:26:05
ticker-4.8.1-r5.apk
4.02MB
2025-09-09 16:17:36
ticker-bash-completion-4.8.1-r5.apk
4.57KB
2025-09-09 16:17:36
ticker-fish-completion-4.8.1-r5.apk
3.88KB
2025-09-09 16:17:36
ticker-zsh-completion-4.8.1-r5.apk
3.72KB
2025-09-09 16:17:36
timeshift-25.07.7-r0.apk
443.60KB
2025-09-09 16:17:36
timeshift-doc-25.07.7-r0.apk
3.17KB
2025-09-09 16:17:36
timeshift-lang-25.07.7-r0.apk
927.05KB
2025-09-09 16:17:36
timew-1.4.3-r1.apk
231.48KB
2024-10-25 22:08:55
timew-bash-completion-1.4.3-r1.apk
2.77KB
2024-10-25 22:08:55
timew-doc-1.4.3-r1.apk
53.15KB
2024-10-25 22:08:55
timewarrior-1.7.1-r0.apk
242.34KB
2024-10-25 22:08:55
timewarrior-doc-1.7.1-r0.apk
22.18KB
2024-10-25 22:08:55
timoni-0.23.0-r8.apk
23.06MB
2025-09-09 16:17:37
timoni-bash-completion-0.23.0-r8.apk
7.95KB
2025-09-09 16:17:37
timoni-doc-0.23.0-r8.apk
337.93KB
2025-09-09 16:17:37
timoni-fish-completion-0.23.0-r8.apk
4.32KB
2025-09-09 16:17:37
timoni-zsh-completion-0.23.0-r8.apk
4.04KB
2025-09-09 16:17:37
tintin-2.02.31-r0.apk
1.80MB
2024-10-25 22:08:55
tinyemu-2019.12.21-r0.apk
98.45KB
2025-05-26 02:35:51
tinygltf-2.9.6-r0.apk
130.29KB
2025-06-08 19:33:47
tinygltf-dev-2.9.6-r0.apk
57.15KB
2025-06-08 19:33:47
tinyscheme-1.42-r1.apk
48.96KB
2024-10-25 22:08:55
tk9-9.0.2-r0.apk
775.07KB
2025-07-03 11:13:07
tk9-dev-9.0.2-r0.apk
81.27KB
2025-07-03 11:13:07
tk9-doc-9.0.2-r0.apk
1.32MB
2025-07-03 11:13:07
tldr-python-client-3.3.0-r0.apk
12.11KB
2024-12-01 19:09:08
tldr-python-client-doc-3.3.0-r0.apk
3.51KB
2024-12-01 19:09:08
tldr-python-client-pyc-3.3.0-r0.apk
14.17KB
2024-12-01 19:09:08
tmate-2.4.0-r4.apk
217.65KB
2024-10-25 22:08:55
tmate-doc-2.4.0-r4.apk
71.72KB
2024-10-25 22:08:55
tmpl-0.4.0-r14.apk
2.70MB
2025-09-09 16:17:37
tmpl-doc-0.4.0-r14.apk
2.25KB
2025-09-09 16:17:37
tmpmail-1.2.3-r2.apk
7.00KB
2024-10-25 22:08:55
tmpmail-doc-1.2.3-r2.apk
3.22KB
2024-10-25 22:08:55
tmux-resurrect-4.0.0-r0.apk
13.85KB
2024-10-25 22:08:55
tmux-resurrect-doc-4.0.0-r0.apk
8.39KB
2024-10-25 22:08:55
tmux-rime-0.0.5-r0.apk
6.84KB
2025-08-15 13:00:16
tmux-rime-dev-0.0.5-r0.apk
4.65KB
2025-08-15 13:00:16
tncattach-0.1.9-r1.apk
19.83KB
2024-10-25 22:08:55
tncattach-doc-0.1.9-r1.apk
3.91KB
2024-10-25 22:08:55
tnef-1.4.18-r0.apk
23.62KB
2024-10-25 22:08:55
tnef-doc-1.4.18-r0.apk
4.20KB
2024-10-25 22:08:55
toapk-1.0-r0.apk
10.26KB
2024-10-25 22:08:55
today-6.2.1-r0.apk
3.18KB
2025-06-20 07:10:16
today-doc-6.2.1-r0.apk
3.30KB
2025-06-20 07:10:16
tofu-ls-0.0.9-r1.apk
5.77MB
2025-09-09 16:17:37
tofu-ls-doc-0.0.9-r1.apk
35.11KB
2025-09-09 16:17:37
tofutf-0.10.0-r8.apk
1.26KB
2025-09-09 16:17:37
tofutf-agent-0.10.0-r8.apk
8.93MB
2025-09-09 16:17:37
tofutf-agent-openrc-0.10.0-r8.apk
1.99KB
2025-09-09 16:17:37
tofutf-cli-0.10.0-r8.apk
8.26MB
2025-09-09 16:17:37
tofutf-server-0.10.0-r8.apk
12.96MB
2025-09-09 16:17:37
tofutf-server-openrc-0.10.0-r8.apk
2.00KB
2025-09-09 16:17:37
tokay-0.6.12-r0.apk
899.19KB
2025-08-15 18:00:58
tokay-doc-0.6.12-r0.apk
5.10KB
2025-08-15 18:00:58
toml2json-1.3.2-r0.apk
314.75KB
2025-09-01 16:13:36
toml2json-doc-1.3.2-r0.apk
3.70KB
2025-09-01 16:13:36
topgit-0.19.13-r1.apk
126.66KB
2024-10-25 22:08:56
topgit-bash-completion-0.19.13-r1.apk
4.03KB
2024-10-25 22:08:56
topgit-doc-0.19.13-r1.apk
73.43KB
2024-10-25 22:08:56
torrent-file-editor-0.3.18-r0.apk
351.83KB
2024-10-25 22:08:56
toss-1.1-r1.apk
10.48KB
2025-05-29 14:58:14
touchpad-emulator-0.3-r0.apk
13.36KB
2025-05-26 12:33:42
toybox-0.8.12-r0.apk
271.16KB
2025-07-24 16:42:52
tpm2-pkcs11-1.9.1-r0.apk
122.46KB
2024-10-25 22:08:56
tpm2-pkcs11-dev-1.9.1-r0.apk
1.88KB
2024-10-25 22:08:56
tpm2-pkcs11-pyc-1.9.1-r0.apk
68.92KB
2024-10-25 22:08:56
tqm-1.17.0-r0.apk
4.62MB
2025-09-23 23:48:58
trace-cmd-3.3.1-r1.apk
148.71KB
2025-01-25 09:04:23
trace-cmd-bash-completion-3.3.1-r1.apk
3.35KB
2025-01-25 09:04:23
trace-cmd-dbg-3.3.1-r1.apk
516.24KB
2025-01-25 09:04:23
trace-cmd-doc-3.3.1-r1.apk
171.27KB
2025-01-25 09:04:23
transito-0.9.1-r11.apk
8.12MB
2025-09-09 16:17:37
transito-doc-0.9.1-r11.apk
755.01KB
2025-09-09 16:17:37
transmission-remote-gtk-1.6.0-r0.apk
129.64KB
2024-10-25 22:08:56
transmission-remote-gtk-doc-1.6.0-r0.apk
4.20KB
2024-10-25 22:08:56
transmission-remote-gtk-lang-1.6.0-r0.apk
105.98KB
2024-10-25 22:08:56
trantor-1.5.18-r0.apk
196.03KB
2024-10-25 22:08:56
trantor-dev-1.5.18-r0.apk
34.00KB
2024-10-25 22:08:56
trantor-doc-1.5.18-r0.apk
2.61KB
2024-10-25 22:08:56
tre-0.8.0-r2.apk
22.20KB
2024-10-25 22:08:56
tre-dev-0.8.0-r2.apk
5.18KB
2024-10-25 22:08:56
tre-static-0.8.0-r2.apk
23.42KB
2024-10-25 22:08:56
trealla-2.83.8-r0.apk
742.29KB
2025-09-25 20:37:27
trealla-doc-2.83.8-r0.apk
25.29KB
2025-09-25 20:37:27
tree-sitter-caddy-0_git20230322-r0.apk
68.99KB
2024-10-25 22:08:56
tree-sitter-caddy-doc-0_git20230322-r0.apk
2.27KB
2024-10-25 22:08:56
tree-sitter-clojure-0.0.13-r0.apk
22.51KB
2025-07-24 16:42:52
tree-sitter-dart-0_git20250228-r0.apk
99.93KB
2025-03-11 00:54:30
tree-sitter-git-commit-0_git20211225-r4.apk
12.94KB
2025-07-25 20:01:08
tree-sitter-git-diff-0_git20230730-r1.apk
9.52KB
2025-07-25 20:01:08
tree-sitter-git-rebase-0_git20240722-r0.apk
4.91KB
2025-03-11 00:54:30
tree-sitter-gleam-1.0.0-r0.apk
42.91KB
2024-10-25 22:08:56
tree-sitter-hare-0_git20230616-r2.apk
33.28KB
2025-07-24 16:42:52
tree-sitter-haskell-0.23.1-r0.apk
282.63KB
2025-01-09 17:53:26
tree-sitter-hcl-1.2.0-r0.apk
21.97KB
2025-06-20 07:10:16
tree-sitter-just-0_git20230318-r0.apk
13.43KB
2024-10-25 22:08:56
tree-sitter-make-0_git20211216-r2.apk
41.50KB
2024-10-25 22:08:56
tree-sitter-pascal-0.9.1-r0.apk
82.40KB
2024-10-25 22:08:56
tree-sitter-pascal-doc-0.9.1-r0.apk
2.27KB
2024-10-25 22:08:56
tremc-0.9.4-r0.apk
52.58KB
2025-07-16 11:06:41
tremc-bash-completion-0.9.4-r0.apk
1.90KB
2025-07-16 11:06:41
tremc-doc-0.9.4-r0.apk
2.82KB
2025-07-16 11:06:41
tremc-zsh-completion-0.9.4-r0.apk
1.82KB
2025-07-16 11:06:41
trigger-rally-0.6.7-r3.apk
277.89KB
2025-03-25 17:39:33
trigger-rally-data-0.6.7-r3.apk
351.99MB
2025-03-25 17:39:41
trigger-rally-doc-0.6.7-r3.apk
28.13KB
2025-03-25 17:39:41
trippy-0.13.0-r0.apk
1.95MB
2025-05-19 11:28:37
trippy-bash-completion-0.13.0-r0.apk
3.18KB
2025-05-19 11:28:37
trippy-zsh-completion-0.13.0-r0.apk
4.85KB
2025-05-19 11:28:37
trivy-0.66.0-r1.apk
64.20MB
2025-09-09 16:17:38
tsung-1.8.0-r3.apk
721.75KB
2025-06-13 08:36:17
ttfautohint-1.8.4-r0.apk
24.47KB
2024-10-25 22:09:04
ttfautohint-dev-1.8.4-r0.apk
140.71KB
2024-10-25 22:09:04
ttfautohint-doc-1.8.4-r0.apk
8.09KB
2024-10-25 22:09:04
ttfautohint-gui-1.8.4-r0.apk
52.37KB
2024-10-25 22:09:04
ttfautohint-libs-1.8.4-r0.apk
93.15KB
2024-10-25 22:09:04
tty-clock-2.3_git20240104-r0.apk
7.80KB
2024-10-25 22:09:04
tty-clock-doc-2.3_git20240104-r0.apk
3.10KB
2024-10-25 22:09:04
tty-proxy-0.0.2-r31.apk
2.60MB
2025-09-09 16:17:38
tty-share-2.4.0-r21.apk
3.72MB
2025-09-09 16:17:38
ttynvt-0.17-r0.apk
14.05KB
2025-08-22 15:50:34
ttyper-1.6.0-r0.apk
535.08KB
2025-02-05 23:45:31
tui-journal-0.10.0-r0.apk
1.67MB
2024-10-25 22:09:04
tui-journal-doc-0.10.0-r0.apk
6.90KB
2024-10-25 22:09:04
tuned-2.26.0-r0.apk
160.42KB
2025-08-27 07:27:07
tuned-bash-completion-2.26.0-r0.apk
1.92KB
2025-08-27 07:27:07
tuned-doc-2.26.0-r0.apk
78.87KB
2025-08-27 07:27:07
tuned-gtk-2.26.0-r0.apk
21.99KB
2025-08-27 07:27:07
tuned-openrc-2.26.0-r0.apk
1.71KB
2025-08-27 07:27:07
tuned-ppd-2.26.0-r0.apk
3.69KB
2025-08-27 07:27:07
tuned-ppd-openrc-2.26.0-r0.apk
1.75KB
2025-08-27 07:27:07
tuned-profiles-2.26.0-r0.apk
8.31KB
2025-08-27 07:27:07
tuned-profiles-compat-2.26.0-r0.apk
3.58KB
2025-08-27 07:27:07
tuned-pyc-2.26.0-r0.apk
335.18KB
2025-08-27 07:27:07
tuned-utils-2.26.0-r0.apk
10.56KB
2025-08-27 07:27:07
tup-0.7.11-r1.apk
208.66KB
2025-06-20 07:10:16
tup-doc-0.7.11-r1.apk
20.86KB
2025-06-20 07:10:16
tup-vim-0.7.11-r1.apk
2.51KB
2025-06-20 07:10:16
tuptime-5.2.4-r2.apk
13.97KB
2025-09-09 16:17:38
tuptime-doc-5.2.4-r2.apk
3.80KB
2025-09-09 16:17:38
tuptime-openrc-5.2.4-r2.apk
1.75KB
2025-09-09 16:17:38
turn-rs-3.4.0-r1.apk
513.76KB
2025-06-12 15:11:56
turn-rs-doc-3.4.0-r1.apk
10.83KB
2025-06-12 15:11:56
turn-rs-openrc-3.4.0-r1.apk
1.96KB
2025-06-12 15:11:56
turnstile-0.1.10-r3.apk
35.81KB
2024-10-25 22:09:04
turnstile-doc-0.1.10-r3.apk
5.70KB
2024-10-25 22:09:04
turnstile-openrc-0.1.10-r3.apk
1.80KB
2024-10-25 22:09:04
turntable-0.3.3-r0.apk
166.36KB
2025-05-27 16:01:36
turntable-lang-0.3.3-r0.apk
12.34KB
2025-05-27 16:01:36
twemproxy-0.5.0-r0.apk
56.70KB
2024-10-25 22:09:04
twemproxy-doc-0.5.0-r0.apk
17.21KB
2024-10-25 22:09:04
twiggy-0.6.0-r3.apk
687.85KB
2024-10-25 22:09:04
twinkle-1.10.3-r3.apk
2.31MB
2025-01-04 23:55:13
twinkle-doc-1.10.3-r3.apk
3.50KB
2025-01-04 23:55:13
ty-0.0.1_alpha19-r0.apk
5.25MB
2025-09-01 03:25:10
ty-bash-completion-0.0.1_alpha19-r0.apk
2.54KB
2025-09-01 03:25:10
ty-fish-completion-0.0.1_alpha19-r0.apk
3.08KB
2025-09-01 03:25:10
ty-pyc-0.0.1_alpha19-r0.apk
3.88KB
2025-09-01 03:25:10
ty-zsh-completion-0.0.1_alpha19-r0.apk
3.39KB
2025-09-01 03:25:10
typobuster-1.0.0-r0.apk
129.36KB
2025-04-24 01:28:32
typstyle-0.12.14-r0.apk
457.74KB
2025-01-12 15:45:22
u1db-qt-0.1.8-r0.apk
89.12KB
2024-10-25 22:09:04
uasm-2.56.2-r0.apk
258.82KB
2024-10-25 22:09:04
ubase-20200605-r3.apk
42.45KB
2024-10-25 22:09:04
ubase-doc-20200605-r3.apk
21.23KB
2024-10-25 22:09:04
ubuntu-archive-keyring-2023.11.28.1-r0.apk
16.15KB
2024-10-25 22:09:04
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2.27KB
2024-10-25 22:09:04
ubus-2025.05.16-r0.apk
27.02KB
2025-08-11 23:10:51
ubus-dev-2025.05.16-r0.apk
5.59KB
2025-08-11 23:10:51
uclient-20241022-r0.apk
14.62KB
2025-05-30 02:50:17
uclient-dev-20241022-r0.apk
3.54KB
2025-05-30 02:50:17
uclient-fetch-20241022-r0.apk
10.70KB
2025-05-30 02:50:17
udpt-3.1.2-r0.apk
620.78KB
2024-10-25 22:09:04
udpt-openrc-3.1.2-r0.apk
1.80KB
2024-10-25 22:09:04
ueberzug-18.3.1-r0.apk
63.79KB
2025-02-22 14:34:49
ueberzug-pyc-18.3.1-r0.apk
63.77KB
2025-02-22 14:34:49
ufw-docker-250710-r0.apk
7.42KB
2025-09-14 09:51:52
ufw-docker-doc-250710-r0.apk
13.57KB
2025-09-14 09:51:52
undock-0.10.0-r3.apk
9.90MB
2025-09-09 16:17:38
unit-php81-1.35.0-r1.apk
30.58KB
2025-09-16 07:50:29
unit-php85-1.35.0-r2.apk
30.40KB
2025-09-25 02:32:11
up-0.4-r32.apk
1.20MB
2025-09-09 16:17:38
upterm-0.14.3-r8.apk
5.91MB
2025-09-09 16:17:38
upterm-bash-completion-0.14.3-r8.apk
5.53KB
2025-09-09 16:17:38
upterm-doc-0.14.3-r8.apk
6.38KB
2025-09-09 16:17:38
upterm-server-0.14.3-r8.apk
5.80MB
2025-09-09 16:17:38
upterm-server-openrc-0.14.3-r8.apk
1.88KB
2025-09-09 16:17:38
upterm-zsh-completion-0.14.3-r8.apk
4.04KB
2025-09-09 16:17:38
uranium-5.2.2-r3.apk
595.54KB
2024-10-25 22:09:05
urlwatch-2.28-r2.apk
48.71KB
2024-10-25 22:09:05
urlwatch-doc-2.28-r2.apk
33.19KB
2024-10-25 22:09:05
urlwatch-pyc-2.28-r2.apk
101.38KB
2024-10-25 22:09:05
usbmuxd-1.1.1_git20250201-r9.apk
33.00KB
2025-08-24 15:35:39
usbmuxd-doc-1.1.1_git20250201-r9.apk
3.00KB
2025-08-24 15:35:39
usbmuxd-udev-1.1.1_git20250201-r9.apk
2.09KB
2025-08-24 15:35:39
usbtop-1.0-r0.apk
12.22KB
2025-04-12 15:26:44
ustr-1.0.4-r1.apk
43.82KB
2024-10-25 22:09:05
ustr-debug-1.0.4-r1.apk
60.51KB
2024-10-25 22:09:05
ustr-dev-1.0.4-r1.apk
91.45KB
2024-10-25 22:09:05
ustr-doc-1.0.4-r1.apk
96.58KB
2024-10-25 22:09:05
ustr-static-1.0.4-r1.apk
125.76KB
2024-10-25 22:09:05
utop-2.9.1-r4.apk
13.28MB
2024-10-25 22:09:05
utop-common-2.9.1-r4.apk
2.02KB
2024-10-25 22:09:05
utop-doc-2.9.1-r4.apk
5.72KB
2024-10-25 22:09:05
utop-emacs-2.9.1-r4.apk
12.81KB
2024-10-25 22:09:05
utop-full-2.9.1-r4.apk
13.29MB
2024-10-25 22:09:05
uucp-1.07-r6.apk
420.08KB
2024-10-25 22:09:05
uucp-doc-1.07-r6.apk
118.10KB
2024-10-25 22:09:05
uxn-1.0-r0.apk
32.42KB
2024-10-25 22:09:05
uxn-doc-1.0-r0.apk
4.16KB
2024-10-25 22:09:05
vals-0.42.0-r2.apk
35.70MB
2025-09-09 16:17:38
varnish-modules-0.26.0-r0.apk
39.27KB
2025-07-06 21:16:51
varnish-modules-doc-0.26.0-r0.apk
21.54KB
2025-07-06 21:16:51
vcdimager-2.0.1-r5.apk
450.11KB
2025-01-25 09:04:25
vcdimager-dev-2.0.1-r5.apk
112.86KB
2025-01-25 09:04:25
vcdimager-doc-2.0.1-r5.apk
74.29KB
2025-01-25 09:04:25
vcsh-2.0.5-r0.apk
8.80KB
2024-10-25 22:09:06
vcsh-bash-completion-2.0.5-r0.apk
2.92KB
2024-10-25 22:09:06
vcsh-doc-2.0.5-r0.apk
27.20KB
2024-10-25 22:09:06
vcsh-zsh-completion-2.0.5-r0.apk
2.93KB
2024-10-25 22:09:06
vcstool-0.3.0-r5.apk
34.80KB
2024-10-25 22:09:06
vcstool-bash-completion-0.3.0-r5.apk
1.76KB
2024-10-25 22:09:06
vcstool-pyc-0.3.0-r5.apk
57.72KB
2024-10-25 22:09:06
vcstool-tcsh-completion-0.3.0-r5.apk
1.63KB
2024-10-25 22:09:06
vcstool-zsh-completion-0.3.0-r5.apk
1.73KB
2024-10-25 22:09:06
vectoroids-1.1.0-r2.apk
280.80KB
2024-10-25 22:09:06
vectoroids-doc-1.1.0-r2.apk
2.29KB
2024-10-25 22:09:06
vera++-1.3.0-r10.apk
231.53KB
2024-10-25 22:09:06
vfd-configurations-0_git20230612-r0.apk
24.80KB
2024-10-25 22:09:06
vice-3.9-r2.apk
11.68MB
2025-09-27 16:40:39
vice-doc-3.9-r2.apk
2.20MB
2025-09-27 16:40:39
vidcutter-6.0.5.3-r0.apk
2.79MB
2024-10-25 22:09:06
vidcutter-doc-6.0.5.3-r0.apk
24.20KB
2024-10-25 22:09:06
vidcutter-pyc-6.0.5.3-r0.apk
1.90MB
2024-10-25 22:09:06
video-trimmer-25.03-r0.apk
359.46KB
2025-07-01 21:10:44
video-trimmer-lang-25.03-r0.apk
92.59KB
2025-07-01 21:10:44
viewnior-1.8-r1.apk
67.30KB
2024-10-25 22:09:06
viewnior-doc-1.8-r1.apk
2.10KB
2024-10-25 22:09:06
viewnior-lang-1.8-r1.apk
85.15KB
2024-10-25 22:09:06
vile-9.8z_p1-r1.apk
707.89KB
2025-07-01 21:10:44
vile-common-9.8z_p1-r1.apk
353.28KB
2025-07-01 21:10:44
vile-doc-9.8z_p1-r1.apk
357.17KB
2025-07-01 21:10:44
vim-airline-0.11-r0.apk
86.38KB
2024-10-25 22:09:06
vim-airline-doc-0.11-r0.apk
12.13KB
2024-10-25 22:09:06
vim-nerdtree-7.1.3-r0.apk
66.56KB
2025-03-04 23:00:11
vim-rust-305-r1.apk
19.90KB
2025-08-21 10:57:36
virtctl-1.6.0-r2.apk
15.01MB
2025-09-09 16:17:39
virtctl-bash-completion-1.6.0-r2.apk
5.12KB
2025-09-09 16:17:39
virtctl-fish-completion-1.6.0-r2.apk
4.32KB
2025-09-09 16:17:39
virtctl-zsh-completion-1.6.0-r2.apk
4.04KB
2025-09-09 16:17:39
virter-0.29.0-r3.apk
5.65MB
2025-09-09 16:17:39
virter-bash-completion-0.29.0-r3.apk
6.11KB
2025-09-09 16:17:39
virter-doc-0.29.0-r3.apk
14.88KB
2025-09-09 16:17:39
virter-fish-completion-0.29.0-r3.apk
4.33KB
2025-09-09 16:17:39
virter-zsh-completion-0.29.0-r3.apk
4.05KB
2025-09-09 16:17:39
virtualgl-3.1.3-r0.apk
1.57MB
2025-04-23 00:24:54
virtualgl-dev-3.1.3-r0.apk
6.12KB
2025-04-23 00:24:54
virtualgl-doc-3.1.3-r0.apk
313.69KB
2025-04-23 00:24:54
visidata-3.3-r0.apk
424.65KB
2025-09-16 07:50:29
visidata-doc-3.3-r0.apk
18.39KB
2025-09-16 07:50:29
visidata-pyc-3.3-r0.apk
845.80KB
2025-09-16 07:50:29
visidata-zsh-completion-3.3-r0.apk
9.43KB
2025-09-16 07:50:29
vit-2.3.2-r1.apk
80.48KB
2024-10-25 22:09:06
vit-pyc-2.3.2-r1.apk
151.48KB
2024-10-25 22:09:06
vixl-8.0.0-r0.apk
288.91KB
2025-07-15 21:06:02
vixl-dev-8.0.0-r0.apk
114.51KB
2025-07-15 21:06:02
vkbasalt-0.3.2.10-r0.apk
344.14KB
2024-10-25 22:09:06
vkbasalt-doc-0.3.2.10-r0.apk
3.06KB
2024-10-25 22:09:06
vmtouch-1.3.1-r0.apk
12.34KB
2024-10-25 22:09:06
vmtouch-doc-1.3.1-r0.apk
8.04KB
2024-10-25 22:09:06
voikko-fi-2.5-r0.apk
1.56MB
2024-10-25 22:09:06
volumeicon-0.5.1-r1.apk
39.52KB
2024-10-25 22:09:06
volumeicon-lang-0.5.1-r1.apk
3.76KB
2024-10-25 22:09:06
vym-2.9.26-r0.apk
2.74MB
2024-10-25 22:09:07
vym-doc-2.9.26-r0.apk
3.39MB
2024-10-25 22:09:07
w_scan2-1.0.17-r0.apk
133.83KB
2025-06-11 20:31:40
w_scan2-doc-1.0.17-r0.apk
4.15KB
2025-06-11 20:31:40
wabt-1.0.37-r0.apk
3.57MB
2025-04-07 00:38:39
wabt-doc-1.0.37-r0.apk
13.42KB
2025-04-07 00:38:39
waifu2x-converter-cpp-5.3.4-r9.apk
11.59MB
2025-07-07 10:22:40
wakeonlan-0.42-r0.apk
4.50KB
2024-10-25 22:09:07
wakeonlan-doc-0.42-r0.apk
7.51KB
2024-10-25 22:09:07
walk-1.13.0-r6.apk
3.00MB
2025-09-09 16:17:39
walk-doc-1.13.0-r6.apk
2.23KB
2025-09-09 16:17:39
walk-sor-0_git20190920-r1.apk
5.04KB
2024-10-25 22:09:07
walk-sor-doc-0_git20190920-r1.apk
7.77KB
2024-10-25 22:09:07
warp-s3-1.3.0-r1.apk
8.08MB
2025-09-09 16:17:39
warpinator-1.8.9-r0.apk
216.01KB
2025-07-26 23:09:58
warpinator-lang-1.8.9-r0.apk
222.25KB
2025-07-26 23:09:58
warpinator-nemo-1.8.9-r0.apk
4.17KB
2025-07-26 23:09:58
wasmtime-34.0.1-r0.apk
6.14MB
2025-07-24 16:42:52
wasmtime-dev-34.0.1-r0.apk
90.84KB
2025-07-24 16:42:52
watchbind-0.2.1-r1.apk
0.97MB
2024-10-25 22:09:07
watchbind-doc-0.2.1-r1.apk
6.56KB
2024-10-25 22:09:07
watchdog-5.16-r2.apk
37.92KB
2024-10-25 22:09:07
watchdog-doc-5.16-r2.apk
14.22KB
2024-10-25 22:09:07
watershot-0.2.0-r0.apk
1.44MB
2024-10-25 22:09:07
way-displays-1.14.1-r0.apk
88.68KB
2025-07-05 19:46:29
way-displays-doc-1.14.1-r0.apk
4.56KB
2025-07-05 19:46:29
way-secure-0.2.0-r0.apk
179.56KB
2025-04-19 01:10:43
way-secure-doc-0.2.0-r0.apk
3.03KB
2025-04-19 01:10:43
wayfire-0.9.0-r0.apk
2.25MB
2025-02-27 22:17:05
wayfire-dev-0.9.0-r0.apk
129.19KB
2025-02-27 22:17:05
wayfire-doc-0.9.0-r0.apk
3.64KB
2025-02-27 22:17:05
wayfire-plugins-extra-0.9.0-r0.apk
501.59KB
2025-02-27 22:17:05
waylevel-1.0.0-r1.apk
259.85KB
2024-10-25 22:09:07
waynergy-0.0.17-r1.apk
42.07KB
2025-09-13 04:00:11
wayqt-0.3.0-r1.apk
120.82KB
2025-08-21 10:56:20
wayqt-dev-0.3.0-r1.apk
18.36KB
2025-08-21 10:56:20
wbg-1.3.0-r0.apk
35.97KB
2025-08-12 08:08:38
wch-isp-0.4.1-r2.apk
9.82KB
2024-10-25 22:09:07
wch-isp-doc-0.4.1-r2.apk
2.66KB
2024-10-25 22:09:07
wch-isp-udev-rules-0.4.1-r2.apk
1.65KB
2024-10-25 22:09:07
wcm-0.9.0-r0.apk
348.14KB
2025-02-27 22:17:05
webhookd-1.20.2-r3.apk
3.26MB
2025-09-09 16:17:39
webhookd-doc-1.20.2-r3.apk
2.25KB
2025-09-09 16:17:39
webhookd-openrc-1.20.2-r3.apk
2.25KB
2025-09-09 16:17:39
webtunnel-0.0.2-r4.apk
3.69MB
2025-09-09 16:17:39
weggli-0.2.4-r1.apk
746.86KB
2024-10-25 22:09:07
welle-cli-2.7-r0.apk
278.18KB
2025-04-07 21:04:05
welle-io-2.7-r0.apk
383.44KB
2025-04-07 21:04:05
welle-io-doc-2.7-r0.apk
3.98KB
2025-04-07 21:04:05
wf-config-0.9.0-r0.apk
97.50KB
2025-02-27 22:17:05
wf-config-dev-0.9.0-r0.apk
16.25KB
2025-02-27 22:17:05
wf-shell-0.9.0-r0.apk
6.05MB
2025-02-27 22:17:05
wf-shell-dev-0.9.0-r0.apk
1.65KB
2025-02-27 22:17:05
wf-shell-doc-0.9.0-r0.apk
3.08KB
2025-02-27 22:17:05
wgcf-2.2.26-r3.apk
3.92MB
2025-09-09 16:17:39
wgcf-bash-completion-2.2.26-r3.apk
6.09KB
2025-09-09 16:17:39
wgcf-fish-completion-2.2.26-r3.apk
4.32KB
2025-09-09 16:17:39
wgcf-zsh-completion-2.2.26-r3.apk
4.04KB
2025-09-09 16:17:39
whatsie-4.16.3-r0.apk
15.27MB
2025-01-12 15:00:47
whatsie-doc-4.16.3-r0.apk
2.20KB
2025-01-12 15:00:47
wiki-tui-0.8.2-r1.apk
1.37MB
2024-10-25 22:09:07
wiki-tui-doc-0.8.2-r1.apk
4.60KB
2024-10-25 22:09:07
wiremapper-0.10.0-r0.apk
21.65KB
2024-10-25 22:09:07
wiringx-0_git20240317-r2.apk
47.53KB
2025-03-03 18:22:14
wiringx-dev-0_git20240317-r2.apk
65.55KB
2025-03-03 18:22:14
witchery-0.0.3-r2.apk
3.20KB
2024-10-25 22:09:07
wk-adblock-0.0.4-r5.apk
145.87KB
2024-10-25 22:09:07
wk-adblock-doc-0.0.4-r5.apk
2.09KB
2024-10-25 22:09:07
wl-clipboard-x11-5-r3.apk
3.42KB
2024-10-25 22:09:07
wl-clipboard-x11-doc-5-r3.apk
2.94KB
2024-10-25 22:09:07
wl-gammarelay-0.1.3-r2.apk
1.63MB
2025-09-09 16:17:39
wl-kbptr-0.4.0-r0.apk
23.29KB
2025-07-24 16:42:52
wl-kbptr-doc-0.4.0-r0.apk
4.78KB
2025-07-24 16:42:52
wl-kbptr-full-0.4.0-r0.apk
28.78KB
2025-07-24 16:42:52
wl-screenrec-0.1.7-r1.apk
497.38KB
2025-08-27 19:17:12
wl-screenrec-bash-completion-0.1.7-r1.apk
2.42KB
2025-08-27 19:17:12
wl-screenrec-doc-0.1.7-r1.apk
9.50KB
2025-08-27 19:17:12
wl-screenrec-fish-completion-0.1.7-r1.apk
3.22KB
2025-08-27 19:17:12
wl-screenrec-zsh-completion-0.1.7-r1.apk
3.65KB
2025-08-27 19:17:12
wlavu-0_git20201101-r1.apk
10.75KB
2024-10-25 22:09:07
wlclock-1.0.1-r0.apk
14.36KB
2024-10-25 22:09:07
wlclock-doc-1.0.1-r0.apk
3.29KB
2024-10-25 22:09:07
wlroots0.17-0.17.4-r3.apk
325.20KB
2025-08-13 06:46:26
wlroots0.17-dbg-0.17.4-r3.apk
1.49MB
2025-08-13 06:46:26
wlroots0.17-dev-0.17.4-r3.apk
77.41KB
2025-08-13 06:46:26
wmctrl-1.07-r1.apk
12.90KB
2024-10-25 22:09:08
wmctrl-doc-1.07-r1.apk
5.10KB
2024-10-25 22:09:08
wmi-client-1.3.16-r5.apk
2.15MB
2024-10-25 22:09:08
wok-3.0.0-r6.apk
156.60KB
2024-10-25 22:09:08
wok-doc-3.0.0-r6.apk
3.67KB
2024-10-25 22:09:08
wok-lang-3.0.0-r6.apk
16.04KB
2024-10-25 22:09:08
wok-pyc-3.0.0-r6.apk
118.68KB
2024-10-25 22:09:08
wol-0.7.1-r3.apk
22.44KB
2024-10-25 22:09:08
wol-doc-0.7.1-r3.apk
5.52KB
2024-10-25 22:09:08
wol-lang-0.7.1-r3.apk
8.16KB
2024-10-25 22:09:08
wolfssh-1.4.17-r1.apk
118.69KB
2025-09-21 18:29:32
wolfssh-dev-1.4.17-r1.apk
160.50KB
2025-09-21 18:29:32
wpa_actiond-1.4-r7.apk
8.66KB
2024-10-25 22:09:08
wpa_actiond-openrc-1.4-r7.apk
2.22KB
2024-10-25 22:09:08
wput-0.6.2-r4.apk
35.38KB
2024-10-25 22:09:08
wput-doc-0.6.2-r4.apk
8.25KB
2024-10-25 22:09:08
wroomd-0.1.0-r0.apk
898.38KB
2024-10-25 22:09:08
wroomd-openrc-0.1.0-r0.apk
1.68KB
2024-10-25 22:09:08
wshowkeys-1.0-r0.apk
11.64KB
2024-10-25 22:09:08
wsmancli-2.8.0-r0.apk
16.62KB
2025-07-15 13:28:50
wsmancli-doc-2.8.0-r0.apk
3.67KB
2025-07-15 13:28:50
wtfutil-0.43.0-r16.apk
19.14MB
2025-09-09 16:17:39
x11docker-7.6.0-r1.apk
113.43KB
2024-10-25 22:09:09
x11docker-doc-7.6.0-r1.apk
9.39KB
2024-10-25 22:09:09
xa-2.4.1-r0.apk
63.49KB
2025-02-25 14:36:39
xa-doc-2.4.1-r0.apk
17.20KB
2025-02-25 14:36:39
xcape-1.2-r1.apk
6.20KB
2025-05-14 21:17:54
xcape-doc-1.2-r1.apk
3.08KB
2025-05-14 21:17:54
xcompmgr-1.1.10-r0.apk
13.76KB
2025-06-28 14:24:23
xcompmgr-doc-1.1.10-r0.apk
2.60KB
2025-06-28 14:24:23
xdg-ninja-0.2.0.2-r0.apk
70.44KB
2024-10-25 22:09:09
xed-3.8.3-r0.apk
1.05MB
2025-07-31 23:37:25
xed-dev-3.8.3-r0.apk
13.66KB
2025-07-31 23:37:25
xed-doc-3.8.3-r0.apk
970.94KB
2025-07-31 23:37:25
xed-lang-3.8.3-r0.apk
2.09MB
2025-07-31 23:37:25
xed-python-3.8.3-r0.apk
24.49KB
2025-07-31 23:37:25
xendmail-0.4.4-r0.apk
848.60KB
2025-08-08 19:11:01
xendmail-doc-0.4.4-r0.apk
2.54KB
2025-08-08 19:11:01
xfce4-hamster-plugin-1.17-r0.apk
29.61KB
2024-10-25 22:09:09
xfce4-hamster-plugin-lang-1.17-r0.apk
5.07KB
2024-10-25 22:09:09
xfce4-mixer-4.18.1-r2.apk
77.60KB
2024-10-25 22:09:09
xfce4-mixer-doc-4.18.1-r2.apk
2.48KB
2024-10-25 22:09:09
xfce4-mixer-lang-4.18.1-r2.apk
58.74KB
2024-10-25 22:09:09
xfce4-panel-profiles-1.1.1-r0.apk
57.59KB
2025-07-06 12:17:54
xfce4-panel-profiles-doc-1.1.1-r0.apk
19.94KB
2025-07-06 12:17:54
xfce4-panel-profiles-lang-1.1.1-r0.apk
53.47KB
2025-07-06 12:17:54
xfd-1.1.4-r0.apk
12.25KB
2024-10-25 22:09:09
xfd-doc-1.1.4-r0.apk
4.93KB
2024-10-25 22:09:09
xfsdump-3.2.0-r0.apk
352.75KB
2025-08-30 23:48:03
xfsdump-doc-3.2.0-r0.apk
42.45KB
2025-08-30 23:48:03
xgalaga-2.1.1.0-r1.apk
269.27KB
2024-10-25 22:09:09
xgalaga-doc-2.1.1.0-r1.apk
2.55KB
2024-10-25 22:09:09
xiccd-0.3.0_git20211219-r1.apk
14.04KB
2024-10-25 22:09:09
xiccd-doc-0.3.0_git20211219-r1.apk
3.30KB
2024-10-25 22:09:09
xisxwayland-2-r1.apk
3.77KB
2024-10-25 22:09:09
xisxwayland-doc-2-r1.apk
1.95KB
2024-10-25 22:09:09
xkb-switch-1.8.5-r1.apk
17.70KB
2025-05-14 21:17:54
xkb-switch-doc-1.8.5-r1.apk
2.11KB
2025-05-14 21:17:54
xlhtml-0.5.1-r0.apk
9.76KB
2024-10-25 22:09:09
xlhtml-doc-0.5.1-r0.apk
2.48KB
2024-10-25 22:09:09
xload-1.1.4-r0.apk
5.99KB
2024-10-25 22:09:09
xload-doc-1.1.4-r0.apk
3.21KB
2024-10-25 22:09:09
xmag-1.0.8-r0.apk
14.79KB
2024-10-25 22:09:09
xmag-doc-1.0.8-r0.apk
4.74KB
2024-10-25 22:09:09
xml2rfc-3.28.1-r2.apk
351.85KB
2025-09-09 16:17:39
xml2rfc-pyc-3.28.1-r2.apk
407.56KB
2025-09-09 16:17:39
xmp-4.2.0-r0.apk
20.49KB
2024-10-25 22:09:09
xmp-doc-4.2.0-r0.apk
5.30KB
2024-10-25 22:09:09
xmpp-dns-0.2.4-r27.apk
1.94MB
2025-09-09 16:17:39
xmppipe-0.16.0-r1.apk
14.59KB
2024-10-25 22:09:09
xone-src-0.4.5-r0.apk
56.88KB
2025-09-13 16:05:44
xonsh-0.19.1-r1.apk
584.89KB
2025-09-09 16:17:39
xonsh-pyc-0.19.1-r1.apk
1.02MB
2025-09-09 16:17:39
xosview-1.24-r0.apk
104.54KB
2024-10-25 22:09:09
xosview-doc-1.24-r0.apk
12.50KB
2024-10-25 22:09:09
xpar-0.7-r0.apk
25.15KB
2025-09-27 17:33:41
xpar-doc-0.7-r0.apk
4.33KB
2025-09-27 17:33:41
xsane-0.999-r2.apk
1.49MB
2024-10-25 22:09:09
xsane-doc-0.999-r2.apk
4.30KB
2024-10-25 22:09:09
xsane-lang-0.999-r2.apk
440.33KB
2024-10-25 22:09:09
xsecurelock-1.9.0-r1.apk
57.59KB
2024-10-25 22:09:09
xsecurelock-doc-1.9.0-r1.apk
17.75KB
2024-10-25 22:09:09
xsoldier-1.8-r2.apk
65.45KB
2024-10-25 22:09:09
xsoldier-doc-1.8-r2.apk
2.62KB
2024-10-25 22:09:09
xtensor-0.27.0-r0.apk
269.72KB
2025-08-25 09:40:19
xtl-0.8.0-r0.apk
89.73KB
2025-07-29 01:13:03
xva-img-1.5-r0.apk
15.43KB
2024-10-25 22:09:09
xvidtune-1.0.4-r0.apk
15.49KB
2024-10-25 22:09:09
xvidtune-doc-1.0.4-r0.apk
4.17KB
2024-10-25 22:09:09
xvile-9.8z_p1-r1.apk
731.01KB
2025-07-01 21:10:44
xvkbd-4.1-r2.apk
290.70KB
2024-10-25 22:09:09
xvkbd-doc-4.1-r2.apk
10.77KB
2024-10-25 22:09:09
xwayland-satellite-0.7-r0.apk
885.22KB
2025-08-31 01:13:31
yamkix-0.10.0-r1.apk
14.23KB
2024-10-25 22:09:09
yamkix-pyc-0.10.0-r1.apk
11.77KB
2024-10-25 22:09:09
yaml-language-server-1.19.0-r2.apk
742.65KB
2025-07-28 19:14:00
yaml-language-server-doc-1.19.0-r2.apk
2.27KB
2025-07-28 19:14:00
yamldiff-0.3.0-r1.apk
1.63MB
2025-09-09 16:17:39
yamldiff-doc-0.3.0-r1.apk
2.25KB
2025-09-09 16:17:39
yarn-berry-4.9.1-r0.apk
1.01MB
2025-04-16 01:14:44
yaru-common-25.10.1-r0.apk
3.84MB
2025-07-15 13:28:50
yaru-icon-theme-25.10.1-r0.apk
35.33MB
2025-07-15 13:28:50
yaru-icon-theme-bark-25.10.1-r0.apk
1.13MB
2025-07-15 13:28:50
yaru-icon-theme-blue-25.10.1-r0.apk
1.17MB
2025-07-15 13:28:50
yaru-icon-theme-magenta-25.10.1-r0.apk
1.15MB
2025-07-15 13:28:50
yaru-icon-theme-mate-25.10.1-r0.apk
1.33MB
2025-07-15 13:28:50
yaru-icon-theme-olive-25.10.1-r0.apk
1.15MB
2025-07-15 13:28:50
yaru-icon-theme-prussiangreen-25.10.1-r0.apk
1.16MB
2025-07-15 13:28:50
yaru-icon-theme-purple-25.10.1-r0.apk
1.19MB
2025-07-15 13:28:50
yaru-icon-theme-red-25.10.1-r0.apk
1.20MB
2025-07-15 13:28:50
yaru-icon-theme-sage-25.10.1-r0.apk
1.18MB
2025-07-15 13:28:50
yaru-icon-theme-viridian-25.10.1-r0.apk
1.16MB
2025-07-15 13:28:50
yaru-schemas-25.10.1-r0.apk
1.85KB
2025-07-15 13:28:50
yaru-shell-25.10.1-r0.apk
226.77KB
2025-07-15 13:28:50
yaru-sounds-25.10.1-r0.apk
1.20MB
2025-07-15 13:28:50
yaru-theme-25.10.1-r0.apk
840.39KB
2025-07-15 13:28:50
yaru-theme-bark-25.10.1-r0.apk
762.79KB
2025-07-15 13:28:50
yaru-theme-blue-25.10.1-r0.apk
766.05KB
2025-07-15 13:28:50
yaru-theme-hdpi-25.10.1-r0.apk
73.26KB
2025-07-15 13:28:50
yaru-theme-magenta-25.10.1-r0.apk
761.85KB
2025-07-15 13:28:50
yaru-theme-mate-25.10.1-r0.apk
771.95KB
2025-07-15 13:28:50
yaru-theme-olive-25.10.1-r0.apk
759.22KB
2025-07-15 13:28:50
yaru-theme-prussiangreen-25.10.1-r0.apk
759.71KB
2025-07-15 13:28:50
yaru-theme-purple-25.10.1-r0.apk
756.92KB
2025-07-15 13:28:50
yaru-theme-red-25.10.1-r0.apk
759.91KB
2025-07-15 13:28:50
yaru-theme-sage-25.10.1-r0.apk
763.20KB
2025-07-15 13:28:50
yaru-theme-viridian-25.10.1-r0.apk
760.25KB
2025-07-15 13:28:50
ydcv-0.7-r8.apk
20.02KB
2024-10-25 22:09:10
ydcv-pyc-0.7-r8.apk
10.57KB
2024-10-25 22:09:10
ydcv-zsh-completion-0.7-r8.apk
2.15KB
2024-10-25 22:09:10
yices2-2.6.5-r0.apk
1.80MB
2025-03-03 02:14:54
yices2-dev-2.6.5-r0.apk
41.39KB
2025-03-03 02:14:54
yices2-libs-2.6.5-r0.apk
674.60KB
2025-03-03 02:14:54
yoshimi-2.3.3.3-r0.apk
5.68MB
2025-03-14 00:33:14
yoshimi-doc-2.3.3.3-r0.apk
4.52MB
2025-03-14 00:33:14
yosys-0.42-r1.apk
17.46MB
2025-06-12 15:11:57
yosys-dev-0.42-r1.apk
116.86KB
2025-06-12 15:11:57
youtube-viewer-3.11.6-r0.apk
83.84KB
2025-06-09 08:05:28
youtube-viewer-doc-3.11.6-r0.apk
40.62KB
2025-06-09 08:05:28
youtube-viewer-gtk-3.11.6-r0.apk
171.60KB
2025-06-09 08:05:28
ytmdl-2024.08.15.1-r1.apk
50.17KB
2025-05-14 21:17:54
ytmdl-bash-completion-2024.08.15.1-r1.apk
2.27KB
2025-05-14 21:17:54
ytmdl-pyc-2024.08.15.1-r1.apk
78.10KB
2025-05-14 21:17:54
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2.11KB
2025-05-14 21:17:54
yubikey-agent-0.1.6-r15.apk
1.93MB
2025-09-09 16:17:39
z-1.12-r0.apk
4.58KB
2024-10-25 22:09:11
z-doc-1.12-r0.apk
3.89KB
2024-10-25 22:09:11
zafiro-icon-theme-1.3-r0.apk
19.23MB
2024-10-25 22:09:11
zapret-0.0.0_git20220125-r1.apk
70.84KB
2024-10-25 22:09:11
zapret-doc-0.0.0_git20220125-r1.apk
98.46KB
2024-10-25 22:09:11
zapret-openrc-0.0.0_git20220125-r1.apk
2.08KB
2024-10-25 22:09:11
zapzap-6.0.1.8-r0.apk
108.82KB
2025-04-14 03:40:11
zapzap-pyc-6.0.1.8-r0.apk
125.95KB
2025-04-14 03:40:11
zarchive-0.1.2-r2.apk
14.15KB
2024-10-25 22:09:11
zarchive-dev-0.1.2-r2.apk
6.74KB
2024-10-25 22:09:11
zarchive-libs-0.1.2-r2.apk
20.26KB
2024-10-25 22:09:11
zfs-src-2.3.4-r0.apk
32.90MB
2025-08-28 19:22:58
zfsbootmenu-2.3.0-r1.apk
128.24KB
2024-10-25 22:09:12
zfsbootmenu-doc-2.3.0-r1.apk
15.79KB
2024-10-25 22:09:12
zile-2.6.2-r1.apk
100.72KB
2024-10-25 22:09:12
zile-doc-2.6.2-r1.apk
15.81KB
2024-10-25 22:09:12
zita-njbridge-0.4.8-r1.apk
23.92KB
2024-10-25 22:09:12
zita-njbridge-doc-0.4.8-r1.apk
5.24KB
2024-10-25 22:09:12
zita-resampler-1.11.2-r0.apk
15.86KB
2025-04-15 00:16:08
zita-resampler-dev-1.11.2-r0.apk
3.26KB
2025-04-15 00:16:08
zita-resampler-doc-1.11.2-r0.apk
4.04KB
2025-04-15 00:16:08
zizmor-1.13.0-r0.apk
3.29MB
2025-09-19 17:53:45
zizmor-doc-1.13.0-r0.apk
2.28KB
2025-09-19 17:53:45
zrepl-0.6.1-r14.apk
6.65MB
2025-09-09 16:17:39
zrepl-bash-completion-0.6.1-r14.apk
4.47KB
2025-09-09 16:17:39
zrepl-openrc-0.6.1-r14.apk
1.72KB
2025-09-09 16:17:39
zrepl-zsh-completion-0.6.1-r14.apk
1.95KB
2025-09-09 16:17:39
zsh-fzf-tab-0_git20220331-r1.apk
16.05KB
2024-10-25 22:09:12
zsh-histdb-skim-0.8.6-r0.apk
669.58KB
2024-10-25 22:09:12
zsh-manydots-magic-0_git20230607-r1.apk
2.84KB
2024-10-25 22:09:12
zutty-0.16-r0.apk
140.11KB
2025-01-12 23:01:00
zutty-doc-0.16-r0.apk
66.56KB
2025-01-12 23:01:00
zvbi-0.2.44-r0.apk
165.65KB
2025-03-11 22:40:03
zvbi-doc-0.2.44-r0.apk
21.05KB
2025-03-11 22:40:03
zycore-1.5.0-r1.apk
17.88KB
2025-07-15 13:28:50
zycore-dev-1.5.0-r1.apk
37.39KB
2025-07-15 13:28:50
zycore-doc-1.5.0-r1.apk
432.65KB
2025-07-15 13:28:50
zydis-4.1.0-r0.apk
202.87KB
2024-10-25 22:09:12
zydis-dev-4.1.0-r0.apk
60.82KB
2024-10-25 22:09:12
zydis-doc-4.1.0-r0.apk
1.58MB
2024-10-25 22:09:12
10" >